X-Git-Url: http://git.onelab.eu/?a=blobdiff_plain;f=fs%2Fopen.c;fp=fs%2Fopen.c;h=bda0a5982dee848f4b7eb41221e1f0a1fee62848;hb=7172c64a7cee4dfa95864f49c914f7ea8cf497c8;hp=e866a79eba4fd471fad24df7952515ad5d6f7e4f;hpb=3967a72a825e44bff5d10f516e90b6f59f59e599;p=linux-2.6.git diff --git a/fs/open.c b/fs/open.c index e866a79eb..bda0a5982 100644 --- a/fs/open.c +++ b/fs/open.c @@ -1214,6 +1214,7 @@ EXPORT_SYMBOL(sys_close); asmlinkage long sys_vhangup(void) { if (capable(CAP_SYS_TTY_CONFIG)) { + /* XXX: this needs locking */ tty_vhangup(current->signal->tty); return 0; }