X-Git-Url: http://git.onelab.eu/?a=blobdiff_plain;f=scripts%2Fgenksyms%2Flex.c_shipped;h=37ba98241b96078d676b10ddb070690b2c15bfac;hb=refs%2Fheads%2Fvserver;hp=1218053ee960e390020aa2a85067797673e1ebcd;hpb=43bc926fffd92024b46cafaf7350d669ba9ca884;p=linux-2.6.git diff --git a/scripts/genksyms/lex.c_shipped b/scripts/genksyms/lex.c_shipped index 1218053ee..37ba98241 100644 --- a/scripts/genksyms/lex.c_shipped +++ b/scripts/genksyms/lex.c_shipped @@ -2023,7 +2023,7 @@ repeat: break; default: - abort(); + exit(1); } fini: