From: Sapan Bhatia Date: Wed, 1 Aug 2007 19:02:21 +0000 (+0000) Subject: *** empty log message *** X-Git-Tag: vsys-0.7-19~26 X-Git-Url: http://git.onelab.eu/?a=commitdiff_plain;h=86fd747228605ac982394426c8b65c4a327f0b1f;hp=892d26d4e05de55ae94d21a586e6a0bfa724e327;p=vsys.git *** empty log message *** --- diff --git a/fifowatcher.ml b/fifowatcher.ml index 7871821..46771a8 100644 --- a/fifowatcher.ml +++ b/fifowatcher.ml @@ -127,11 +127,11 @@ let mkentry fqp abspath perm = (try Unix.mkfifo (sprintf "%s.in" fqp) 0o666 with - e->printf "Error creating FIFO: %s->%s,%o\n" fqp fifoin perm;flush Pervasives.stdout;raise e); + e->printf "Error creating FIFO: %s->%s. May be something wrong at the frontend.\n" fqp fifoin;flush Pervasives.stdout); (try Unix.mkfifo (sprintf "%s.out" fqp) 0o666 with - e->printf "Error creating FIFO: %s->%s,%o\n" fqp fifoout perm;flush Pervasives.stdout;raise e) + e->printf "Error creating FIFO: %s->%s. May be something wrong at the frontend.\n" fqp fifoout;flush Pervasives.stdout) (** Open fifos for a session *) let openentry fqp abspath perm = diff --git a/vsys b/vsys index dba9927..9c736fd 100755 Binary files a/vsys and b/vsys differ