increase delay for flash devices, output lspci lines for network adapters
[bootcd.git] / sources /
2004-11-22 Aaron KlingamanThis commit was generated by cvs2svn to compensate...