datapath: use eth_hw_addr_random() and reset addr_assign_type
[sliver-openvswitch.git] / AUTHORS
diff --git a/AUTHORS b/AUTHORS
index 58f7ecc..84908a9 100644 (file)
--- a/AUTHORS
+++ b/AUTHORS
@@ -14,6 +14,7 @@ Chuck Short             zulcss@ubuntu.com
 Dan Carpenter           dan.carpenter@oracle.com
 Dan Wendlandt           dan@nicira.com
 Daniel Roman            droman@nicira.com
+Danny Kukawka           danny.kukawka@bisect.de
 David Erickson          derickso@stanford.edu
 Devendra Naga           devendra.aaru@gmail.com
 Dominic Curran          dominic.curran@citrix.com