fedora core 6 1.2949 + vserver 2.2.0
[linux-2.6.git] / drivers / media / dvb / dvb-core / dvb_frontend.c
index 94d6cfa..e859722 100644 (file)
@@ -1,10 +1,11 @@
 /*
- * dvb-core.c: DVB core driver
+ * dvb_frontend.c: DVB frontend tuning interface/thread
+ *
  *
  * Copyright (C) 1999-2001 Ralph  Metzler
- *                         Marcus Metzler
- *                         Holger Waechtler 
- *                                    for convergence integrated media GmbH
+ *                        Marcus Metzler
+ *                        Holger Waechtler
+ *                                   for convergence integrated media GmbH
  *
  * Copyright (C) 2004 Andrew de Quincey (tuning thread cleanup)
  *
@@ -15,7 +16,7 @@
  *
  * This program is distributed in the hope that it will be useful,
  * but WITHOUT ANY WARRANTY; without even the implied warranty of
- * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.         See the
  * GNU General Public License for more details.
  *
  * You should have received a copy of the GNU General Public License
 #include <linux/slab.h>
 #include <linux/poll.h>
 #include <linux/module.h>
+#include <linux/moduleparam.h>
 #include <linux/list.h>
+#include <linux/freezer.h>
+#include <linux/jiffies.h>
 #include <asm/processor.h>
-#include <asm/semaphore.h>
 
 #include "dvb_frontend.h"
 #include "dvbdev.h"
-#include "dvb_functions.h"
+
+static int dvb_frontend_debug;
+static int dvb_shutdown_timeout = 5;
+static int dvb_force_auto_inversion;
+static int dvb_override_tune_delay;
+static int dvb_powerdown_on_sleep = 1;
+
+module_param_named(frontend_debug, dvb_frontend_debug, int, 0644);
+MODULE_PARM_DESC(frontend_debug, "Turn on/off frontend core debugging (default:off).");
+module_param(dvb_shutdown_timeout, int, 0644);
+MODULE_PARM_DESC(dvb_shutdown_timeout, "wait <shutdown_timeout> seconds after close() before suspending hardware");
+module_param(dvb_force_auto_inversion, int, 0644);
+MODULE_PARM_DESC(dvb_force_auto_inversion, "0: normal (default), 1: INVERSION_AUTO forced always");
+module_param(dvb_override_tune_delay, int, 0644);
+MODULE_PARM_DESC(dvb_override_tune_delay, "0: normal (default), >0 => delay in milliseconds to wait for lock after a tune attempt");
+module_param(dvb_powerdown_on_sleep, int, 0644);
+MODULE_PARM_DESC(dvb_powerdown_on_sleep, "0: do not power down, 1: turn LNB voltage off on sleep (default)");
+
+#define dprintk if (dvb_frontend_debug) printk
 
 #define FESTATE_IDLE 1
 #define FESTATE_RETUNE 2
@@ -51,6 +72,8 @@
 #define FESTATE_SEARCHING_FAST (FESTATE_TUNING_FAST | FESTATE_ZIGZAG_FAST)
 #define FESTATE_SEARCHING_SLOW (FESTATE_TUNING_SLOW | FESTATE_ZIGZAG_SLOW)
 #define FESTATE_LOSTLOCK (FESTATE_ZIGZAG_FAST | FESTATE_ZIGZAG_SLOW)
+
+#define FE_ALGO_HW             1
 /*
  * FESTATE_IDLE. No tuning parameters have been supplied and the loop is idling.
  * FESTATE_RETUNE. Parameters have been supplied, but we have not yet performed the first tune.
  * FESTATE_LOSTLOCK. When the lock has been lost, and we're searching it again.
  */
 
+static DEFINE_MUTEX(frontend_mutex);
 
-static int dvb_frontend_debug = 0;
-static int dvb_shutdown_timeout = 5;
-static int dvb_override_frequency_bending = 0;
-static int dvb_force_auto_inversion = 0;
-static int dvb_override_tune_delay = 0;
-
-static int do_frequency_bending = 0;
-
-#define dprintk if (dvb_frontend_debug) printk
-
-#define MAX_EVENT 8
-
-struct dvb_fe_events {
-       struct dvb_frontend_event events[MAX_EVENT];
-       int                       eventw;
-       int                       eventr;
-       int                       overflow;
-       wait_queue_head_t         wait_queue;
-       struct semaphore          sem;
-};
-
+struct dvb_frontend_private {
 
-struct dvb_frontend_data {
-       struct dvb_frontend_info *info;
-       struct dvb_frontend frontend;
+       /* thread/frontend values */
        struct dvb_device *dvbdev;
        struct dvb_frontend_parameters parameters;
        struct dvb_fe_events events;
@@ -100,157 +102,36 @@ struct dvb_frontend_data {
        wait_queue_head_t wait_queue;
        pid_t thread_pid;
        unsigned long release_jiffies;
-       int state;
-       int bending;
+       unsigned int exit;
+       unsigned int wakeup;
+       fe_status_t status;
+       unsigned long tune_mode_flags;
+       unsigned int delay;
+       unsigned int reinitialise;
+       int tone;
+       int voltage;
+
+       /* swzigzag values */
+       unsigned int state;
+       unsigned int bending;
        int lnb_drift;
-       int inversion;
-       int auto_step;
-       int auto_sub_step;
-       int started_auto_step;
-       int min_delay;
-       int max_drift;
-       int step_size;
-       int exit;
-       int wakeup;
-        fe_status_t status;
-};
-
-
-struct dvb_frontend_ioctl_data {
-       struct list_head list_head;
-       struct dvb_adapter *adapter;
-       int (*before_ioctl) (struct dvb_frontend *frontend,
-                            unsigned int cmd, void *arg);
-       int (*after_ioctl)  (struct dvb_frontend *frontend,
-                            unsigned int cmd, void *arg);
-       void *before_after_data;
-};
-
-
-struct dvb_frontend_notifier_data {
-       struct list_head list_head;
-       struct dvb_adapter *adapter;
-       void (*callback) (fe_status_t s, void *data);
-       void *data;
+       unsigned int inversion;
+       unsigned int auto_step;
+       unsigned int auto_sub_step;
+       unsigned int started_auto_step;
+       unsigned int min_delay;
+       unsigned int max_drift;
+       unsigned int step_size;
+       int quality;
+       unsigned int check_wrapped;
 };
 
+static void dvb_frontend_wakeup(struct dvb_frontend *fe);
 
-static LIST_HEAD(frontend_list);
-static LIST_HEAD(frontend_ioctl_list);
-static LIST_HEAD(frontend_notifier_list);
-
-static DECLARE_MUTEX(frontend_mutex);
-
-
-static int dvb_frontend_internal_ioctl (struct dvb_frontend *frontend, 
-                                unsigned int cmd, void *arg)
-{
-       int err = -EOPNOTSUPP;
-
-       dprintk ("%s\n", __FUNCTION__);
-
-       if (frontend->before_ioctl)
-               err = frontend->before_ioctl (frontend, cmd, arg);
-
-       if (err == -EOPNOTSUPP) {
-               err = frontend->ioctl (frontend, cmd, arg);
-
-               if ((err == -EOPNOTSUPP) && frontend->after_ioctl)
-                       err = frontend->after_ioctl (frontend, cmd, arg);
-       }
-
-       return err;
-}
-
-
-/**
- *  if 2 tuners are located side by side you can get interferences when
- *  they try to tune to the same frequency, so both lose sync.
- *  We will slightly mistune in this case. The AFC of the demodulator
- *  should make it still possible to receive the requested transponder 
- *  on both tuners...
- */
-static void dvb_bend_frequency (struct dvb_frontend_data *this_fe, int recursive)
+static void dvb_frontend_add_event(struct dvb_frontend *fe, fe_status_t status)
 {
-       struct list_head *entry;
-       int stepsize = this_fe->info->frequency_stepsize;
-       int this_fe_adap_num = this_fe->frontend.i2c->adapter->num;
-       int frequency;
-
-       if (!stepsize || recursive > 10) {
-               printk ("%s: too deep recursion, check frequency_stepsize "
-                       "in your frontend code!\n", __FUNCTION__);
-               return;
-       }
-
-       dprintk ("%s\n", __FUNCTION__);
-
-       if (!recursive) {
-               if (down_interruptible (&frontend_mutex))
-                       return;
-
-               this_fe->bending = 0;
-       }
-
-       list_for_each (entry, &frontend_list) {
-               struct dvb_frontend_data *fe;
-               int f;
-
-               fe = list_entry (entry, struct dvb_frontend_data, list_head);
-
-               if (fe->frontend.i2c->adapter->num != this_fe_adap_num)
-                       continue;
-
-               f = fe->parameters.frequency;
-               f += fe->lnb_drift;
-               f += fe->bending;
-
-               frequency = this_fe->parameters.frequency;
-               frequency += this_fe->lnb_drift;
-               frequency += this_fe->bending;
-
-               if (this_fe != fe && (fe->state != FESTATE_IDLE) &&
-                    frequency > f - stepsize && frequency < f + stepsize)
-               {
-                       if (recursive % 2)
-                               this_fe->bending += stepsize;
-                       else
-                               this_fe->bending = -this_fe->bending;
-
-                       dvb_bend_frequency (this_fe, recursive + 1);
-                       goto done;
-               }
-       }
-done:
-       if (!recursive)
-               up (&frontend_mutex);
-}
-
-
-static void dvb_call_frontend_notifiers (struct dvb_frontend_data *fe,
-                                 fe_status_t s)
-{
-       dprintk ("%s\n", __FUNCTION__);
-
-       if (((s ^ fe->status) & FE_HAS_LOCK) && (s & FE_HAS_LOCK))
-               dvb_delay (fe->info->notifier_delay);
-
-       fe->status = s;
-
-       if (!(s & FE_HAS_LOCK) && (fe->info->caps & FE_CAN_MUTE_TS))
-               return;
-
-       /**
-        *   now tell the Demux about the TS status changes...
-        */
-       if (fe->frontend.notifier_callback)
-               fe->frontend.notifier_callback(fe->status, fe->frontend.notifier_data);
-}
-
-
-static void dvb_frontend_add_event (struct dvb_frontend_data *fe, fe_status_t status)
-{
-       struct dvb_fe_events *events = &fe->events;
+       struct dvb_frontend_private *fepriv = fe->frontend_priv;
+       struct dvb_fe_events *events = &fepriv->events;
        struct dvb_frontend_event *e;
        int wp;
 
@@ -268,380 +149,474 @@ static void dvb_frontend_add_event (struct dvb_frontend_data *fe, fe_status_t st
 
        e = &events->events[events->eventw];
 
-       memcpy (&e->parameters, &fe->parameters, 
+       memcpy (&e->parameters, &fepriv->parameters,
                sizeof (struct dvb_frontend_parameters));
 
        if (status & FE_HAS_LOCK)
-               dvb_frontend_internal_ioctl (&fe->frontend,
-                                            FE_GET_FRONTEND,
-                                            &e->parameters);
+               if (fe->ops.get_frontend)
+                       fe->ops.get_frontend(fe, &e->parameters);
+
        events->eventw = wp;
 
        up (&events->sem);
 
        e->status = status;
-       dvb_call_frontend_notifiers (fe, status);
 
        wake_up_interruptible (&events->wait_queue);
 }
 
-
-static int dvb_frontend_get_event (struct dvb_frontend_data *fe,
+static int dvb_frontend_get_event(struct dvb_frontend *fe,
                            struct dvb_frontend_event *event, int flags)
 {
-        struct dvb_fe_events *events = &fe->events;
+       struct dvb_frontend_private *fepriv = fe->frontend_priv;
+       struct dvb_fe_events *events = &fepriv->events;
 
        dprintk ("%s\n", __FUNCTION__);
 
        if (events->overflow) {
-                events->overflow = 0;
-                return -EOVERFLOW;
-        }
+               events->overflow = 0;
+               return -EOVERFLOW;
+       }
 
-        if (events->eventw == events->eventr) {
+       if (events->eventw == events->eventr) {
                int ret;
 
-                if (flags & O_NONBLOCK)
-                        return -EWOULDBLOCK;
+               if (flags & O_NONBLOCK)
+                       return -EWOULDBLOCK;
 
-               up(&fe->sem);
+               up(&fepriv->sem);
 
-                ret = wait_event_interruptible (events->wait_queue,
-                                                events->eventw != events->eventr);
+               ret = wait_event_interruptible (events->wait_queue,
+                                               events->eventw != events->eventr);
 
-               if (down_interruptible (&fe->sem))
+               if (down_interruptible (&fepriv->sem))
                        return -ERESTARTSYS;
 
-                if (ret < 0)
-                        return ret;
-        }
+               if (ret < 0)
+                       return ret;
+       }
 
-        if (down_interruptible (&events->sem))
+       if (down_interruptible (&events->sem))
                return -ERESTARTSYS;
 
-               memcpy (event, &events->events[events->eventr],
+       memcpy (event, &events->events[events->eventr],
                sizeof(struct dvb_frontend_event));
 
-        events->eventr = (events->eventr + 1) % MAX_EVENT;
+       events->eventr = (events->eventr + 1) % MAX_EVENT;
 
-               up (&events->sem);
+       up (&events->sem);
 
-        return 0;
+       return 0;
 }
 
-static void dvb_frontend_init (struct dvb_frontend_data *fe)
+static void dvb_frontend_init(struct dvb_frontend *fe)
 {
-       struct dvb_frontend *frontend = &fe->frontend;
+       dprintk ("DVB: initialising frontend %i (%s)...\n",
+                fe->dvb->num,
+                fe->ops.info.name);
+
+       if (fe->ops.init)
+               fe->ops.init(fe);
+       if (fe->ops.tuner_ops.init) {
+               fe->ops.tuner_ops.init(fe);
+               if (fe->ops.i2c_gate_ctrl)
+                       fe->ops.i2c_gate_ctrl(fe, 0);
+       }
+}
 
-       dprintk ("DVB: initialising frontend %i:%i (%s)...\n",
-                frontend->i2c->adapter->num, frontend->i2c->id,
-                fe->info->name);
+void dvb_frontend_reinitialise(struct dvb_frontend *fe)
+{
+       struct dvb_frontend_private *fepriv = fe->frontend_priv;
 
-       dvb_frontend_internal_ioctl (frontend, FE_INIT, NULL);
+       fepriv->reinitialise = 1;
+       dvb_frontend_wakeup(fe);
 }
+EXPORT_SYMBOL(dvb_frontend_reinitialise);
 
-static void update_delay (int *quality, int *delay, int min_delay, int locked)
+static void dvb_frontend_swzigzag_update_delay(struct dvb_frontend_private *fepriv, int locked)
 {
        int q2;
 
        dprintk ("%s\n", __FUNCTION__);
 
        if (locked)
-               (*quality) = (*quality * 220 + 36*256) / 256;
+               (fepriv->quality) = (fepriv->quality * 220 + 36*256) / 256;
        else
-               (*quality) = (*quality * 220 + 0) / 256;
+               (fepriv->quality) = (fepriv->quality * 220 + 0) / 256;
 
-       q2 = *quality - 128;
+       q2 = fepriv->quality - 128;
        q2 *= q2;
 
-           *delay = min_delay + q2 * HZ / (128*128);
+       fepriv->delay = fepriv->min_delay + q2 * HZ / (128*128);
 }
 
 /**
  * Performs automatic twiddling of frontend parameters.
- * 
+ *
  * @param fe The frontend concerned.
  * @param check_wrapped Checks if an iteration has completed. DO NOT SET ON THE FIRST ATTEMPT
  * @returns Number of complete iterations that have been performed.
  */
-static int dvb_frontend_autotune(struct dvb_frontend_data *fe, int check_wrapped)
+static int dvb_frontend_swzigzag_autotune(struct dvb_frontend *fe, int check_wrapped)
 {
        int autoinversion;
        int ready = 0;
-       int original_inversion = fe->parameters.inversion;
-       u32 original_frequency = fe->parameters.frequency;
+       struct dvb_frontend_private *fepriv = fe->frontend_priv;
+       int original_inversion = fepriv->parameters.inversion;
+       u32 original_frequency = fepriv->parameters.frequency;
 
-       // are we using autoinversion?
-       autoinversion = ((!(fe->info->caps & FE_CAN_INVERSION_AUTO)) && (fe->parameters.inversion == INVERSION_AUTO));
+       /* are we using autoinversion? */
+       autoinversion = ((!(fe->ops.info.caps & FE_CAN_INVERSION_AUTO)) &&
+                        (fepriv->parameters.inversion == INVERSION_AUTO));
 
-       // setup parameters correctly
+       /* setup parameters correctly */
        while(!ready) {
-               // calculate the lnb_drift
-               fe->lnb_drift = fe->auto_step * fe->step_size;
-
-               // wrap the auto_step if we've exceeded the maximum drift
-               if (fe->lnb_drift > fe->max_drift) {
-                       fe->auto_step = 0;
-                       fe->auto_sub_step = 0;
-                       fe->lnb_drift = 0;
+               /* calculate the lnb_drift */
+               fepriv->lnb_drift = fepriv->auto_step * fepriv->step_size;
+
+               /* wrap the auto_step if we've exceeded the maximum drift */
+               if (fepriv->lnb_drift > fepriv->max_drift) {
+                       fepriv->auto_step = 0;
+                       fepriv->auto_sub_step = 0;
+                       fepriv->lnb_drift = 0;
                }
 
-               // perform inversion and +/- zigzag
-               switch(fe->auto_sub_step) {
+               /* perform inversion and +/- zigzag */
+               switch(fepriv->auto_sub_step) {
                case 0:
-                       // try with the current inversion and current drift setting
+                       /* try with the current inversion and current drift setting */
                        ready = 1;
                        break;
 
                case 1:
                        if (!autoinversion) break;
 
-                       fe->inversion = (fe->inversion == INVERSION_OFF) ? INVERSION_ON : INVERSION_OFF;
+                       fepriv->inversion = (fepriv->inversion == INVERSION_OFF) ? INVERSION_ON : INVERSION_OFF;
                        ready = 1;
                        break;
 
                case 2:
-                       if (fe->lnb_drift == 0) break;
-                   
-                       fe->lnb_drift = -fe->lnb_drift;
+                       if (fepriv->lnb_drift == 0) break;
+
+                       fepriv->lnb_drift = -fepriv->lnb_drift;
                        ready = 1;
                        break;
-           
+
                case 3:
-                       if (fe->lnb_drift == 0) break;
+                       if (fepriv->lnb_drift == 0) break;
                        if (!autoinversion) break;
-                   
-                       fe->inversion = (fe->inversion == INVERSION_OFF) ? INVERSION_ON : INVERSION_OFF;
-                       fe->lnb_drift = -fe->lnb_drift;
+
+                       fepriv->inversion = (fepriv->inversion == INVERSION_OFF) ? INVERSION_ON : INVERSION_OFF;
+                       fepriv->lnb_drift = -fepriv->lnb_drift;
                        ready = 1;
                        break;
-                   
+
                default:
-                       fe->auto_step++;
-                       fe->auto_sub_step = -1; // it'll be incremented to 0 in a moment
+                       fepriv->auto_step++;
+                       fepriv->auto_sub_step = -1; /* it'll be incremented to 0 in a moment */
                        break;
                }
-           
-               if (!ready) fe->auto_sub_step++;
+
+               if (!ready) fepriv->auto_sub_step++;
        }
 
-       // if this attempt would hit where we started, indicate a complete iteration has occurred
-       if ((fe->auto_step == fe->started_auto_step) && (fe->auto_sub_step == 0) && check_wrapped) {
+       /* if this attempt would hit where we started, indicate a complete
+        * iteration has occurred */
+       if ((fepriv->auto_step == fepriv->started_auto_step) &&
+           (fepriv->auto_sub_step == 0) && check_wrapped) {
                return 1;
-               }
+       }
+
+       dprintk("%s: drift:%i inversion:%i auto_step:%i "
+               "auto_sub_step:%i started_auto_step:%i\n",
+               __FUNCTION__, fepriv->lnb_drift, fepriv->inversion,
+               fepriv->auto_step, fepriv->auto_sub_step, fepriv->started_auto_step);
 
-       // perform frequency bending if necessary
-       if ((dvb_override_frequency_bending != 1) && do_frequency_bending)
-               dvb_bend_frequency(fe, 0);
-
-       // instrumentation
-       dprintk("%s: drift:%i bending:%i inversion:%i auto_step:%i auto_sub_step:%i started_auto_step:%i\n", 
-               __FUNCTION__, fe->lnb_drift, fe->bending, fe->inversion, fe->auto_step, fe->auto_sub_step,
-               fe->started_auto_step);
-    
-       // set the frontend itself
-       fe->parameters.frequency += fe->lnb_drift + fe->bending;
-       if (autoinversion) fe->parameters.inversion = fe->inversion;
-       dvb_frontend_internal_ioctl (&fe->frontend, FE_SET_FRONTEND, &fe->parameters);
-       fe->parameters.frequency = original_frequency;
-       fe->parameters.inversion = original_inversion;
-
-       // normal return
-       fe->auto_sub_step++;
+       /* set the frontend itself */
+       fepriv->parameters.frequency += fepriv->lnb_drift;
+       if (autoinversion)
+               fepriv->parameters.inversion = fepriv->inversion;
+       if (fe->ops.set_frontend)
+               fe->ops.set_frontend(fe, &fepriv->parameters);
+
+       fepriv->parameters.frequency = original_frequency;
+       fepriv->parameters.inversion = original_inversion;
+
+       fepriv->auto_sub_step++;
        return 0;
 }
 
+static void dvb_frontend_swzigzag(struct dvb_frontend *fe)
+{
+       fe_status_t s = 0;
+       struct dvb_frontend_private *fepriv = fe->frontend_priv;
+
+       /* if we've got no parameters, just keep idling */
+       if (fepriv->state & FESTATE_IDLE) {
+               fepriv->delay = 3*HZ;
+               fepriv->quality = 0;
+               return;
+       }
+
+       /* in SCAN mode, we just set the frontend when asked and leave it alone */
+       if (fepriv->tune_mode_flags & FE_TUNE_MODE_ONESHOT) {
+               if (fepriv->state & FESTATE_RETUNE) {
+                       if (fe->ops.set_frontend)
+                               fe->ops.set_frontend(fe, &fepriv->parameters);
+                       fepriv->state = FESTATE_TUNED;
+               }
+               fepriv->delay = 3*HZ;
+               fepriv->quality = 0;
+               return;
+       }
+
+       /* get the frontend status */
+       if (fepriv->state & FESTATE_RETUNE) {
+               s = 0;
+       } else {
+               if (fe->ops.read_status)
+                       fe->ops.read_status(fe, &s);
+               if (s != fepriv->status) {
+                       dvb_frontend_add_event(fe, s);
+                       fepriv->status = s;
+               }
+       }
+
+       /* if we're not tuned, and we have a lock, move to the TUNED state */
+       if ((fepriv->state & FESTATE_WAITFORLOCK) && (s & FE_HAS_LOCK)) {
+               dvb_frontend_swzigzag_update_delay(fepriv, s & FE_HAS_LOCK);
+               fepriv->state = FESTATE_TUNED;
+
+               /* if we're tuned, then we have determined the correct inversion */
+               if ((!(fe->ops.info.caps & FE_CAN_INVERSION_AUTO)) &&
+                   (fepriv->parameters.inversion == INVERSION_AUTO)) {
+                       fepriv->parameters.inversion = fepriv->inversion;
+               }
+               return;
+       }
+
+       /* if we are tuned already, check we're still locked */
+       if (fepriv->state & FESTATE_TUNED) {
+               dvb_frontend_swzigzag_update_delay(fepriv, s & FE_HAS_LOCK);
+
+               /* we're tuned, and the lock is still good... */
+               if (s & FE_HAS_LOCK) {
+                       return;
+               } else { /* if we _WERE_ tuned, but now don't have a lock */
+                       fepriv->state = FESTATE_ZIGZAG_FAST;
+                       fepriv->started_auto_step = fepriv->auto_step;
+                       fepriv->check_wrapped = 0;
+               }
+       }
+
+       /* don't actually do anything if we're in the LOSTLOCK state,
+        * the frontend is set to FE_CAN_RECOVER, and the max_drift is 0 */
+       if ((fepriv->state & FESTATE_LOSTLOCK) &&
+           (fe->ops.info.caps & FE_CAN_RECOVER) && (fepriv->max_drift == 0)) {
+               dvb_frontend_swzigzag_update_delay(fepriv, s & FE_HAS_LOCK);
+               return;
+       }
+
+       /* don't do anything if we're in the DISEQC state, since this
+        * might be someone with a motorized dish controlled by DISEQC.
+        * If its actually a re-tune, there will be a SET_FRONTEND soon enough. */
+       if (fepriv->state & FESTATE_DISEQC) {
+               dvb_frontend_swzigzag_update_delay(fepriv, s & FE_HAS_LOCK);
+               return;
+       }
+
+       /* if we're in the RETUNE state, set everything up for a brand
+        * new scan, keeping the current inversion setting, as the next
+        * tune is _very_ likely to require the same */
+       if (fepriv->state & FESTATE_RETUNE) {
+               fepriv->lnb_drift = 0;
+               fepriv->auto_step = 0;
+               fepriv->auto_sub_step = 0;
+               fepriv->started_auto_step = 0;
+               fepriv->check_wrapped = 0;
+       }
+
+       /* fast zigzag. */
+       if ((fepriv->state & FESTATE_SEARCHING_FAST) || (fepriv->state & FESTATE_RETUNE)) {
+               fepriv->delay = fepriv->min_delay;
+
+               /* peform a tune */
+               if (dvb_frontend_swzigzag_autotune(fe, fepriv->check_wrapped)) {
+                       /* OK, if we've run out of trials at the fast speed.
+                        * Drop back to slow for the _next_ attempt */
+                       fepriv->state = FESTATE_SEARCHING_SLOW;
+                       fepriv->started_auto_step = fepriv->auto_step;
+                       return;
+               }
+               fepriv->check_wrapped = 1;
+
+               /* if we've just retuned, enter the ZIGZAG_FAST state.
+                * This ensures we cannot return from an
+                * FE_SET_FRONTEND ioctl before the first frontend tune
+                * occurs */
+               if (fepriv->state & FESTATE_RETUNE) {
+                       fepriv->state = FESTATE_TUNING_FAST;
+               }
+       }
+
+       /* slow zigzag */
+       if (fepriv->state & FESTATE_SEARCHING_SLOW) {
+               dvb_frontend_swzigzag_update_delay(fepriv, s & FE_HAS_LOCK);
 
+               /* Note: don't bother checking for wrapping; we stay in this
+                * state until we get a lock */
+               dvb_frontend_swzigzag_autotune(fe, 0);
+       }
+}
 
-static int dvb_frontend_is_exiting (struct dvb_frontend_data *fe)
+static int dvb_frontend_is_exiting(struct dvb_frontend *fe)
 {
-       if (fe->exit)
+       struct dvb_frontend_private *fepriv = fe->frontend_priv;
+
+       if (fepriv->exit)
                return 1;
 
-       if (fe->dvbdev->writers == 1)
-               if (jiffies - fe->release_jiffies > dvb_shutdown_timeout * HZ)
+       if (fepriv->dvbdev->writers == 1)
+               if (time_after(jiffies, fepriv->release_jiffies +
+                                 dvb_shutdown_timeout * HZ))
                        return 1;
 
        return 0;
 }
 
-static int dvb_frontend_should_wakeup (struct dvb_frontend_data *fe)
+static int dvb_frontend_should_wakeup(struct dvb_frontend *fe)
 {
-       if (fe->wakeup) {
-               fe->wakeup = 0;
+       struct dvb_frontend_private *fepriv = fe->frontend_priv;
+
+       if (fepriv->wakeup) {
+               fepriv->wakeup = 0;
                return 1;
        }
        return dvb_frontend_is_exiting(fe);
 }
 
-static void dvb_frontend_wakeup (struct dvb_frontend_data *fe) {
-       fe->wakeup = 1;
-       wake_up_interruptible(&fe->wait_queue);
+static void dvb_frontend_wakeup(struct dvb_frontend *fe)
+{
+       struct dvb_frontend_private *fepriv = fe->frontend_priv;
+
+       fepriv->wakeup = 1;
+       wake_up_interruptible(&fepriv->wait_queue);
 }
 
-static int dvb_frontend_thread (void *data)
+static int dvb_frontend_thread(void *data)
 {
-       struct dvb_frontend_data *fe = (struct dvb_frontend_data *) data;
+       struct dvb_frontend *fe = data;
+       struct dvb_frontend_private *fepriv = fe->frontend_priv;
        unsigned long timeout;
        char name [15];
-       int quality = 0, delay = 3*HZ;
        fe_status_t s;
-       int check_wrapped = 0;
+       struct dvb_frontend_parameters *params;
 
-       dprintk ("%s\n", __FUNCTION__);
+       dprintk("%s\n", __FUNCTION__);
+
+       snprintf (name, sizeof(name), "kdvb-fe-%i", fe->dvb->num);
 
-       snprintf (name, sizeof(name), "kdvb-fe-%i:%i",
-                 fe->frontend.i2c->adapter->num, fe->frontend.i2c->id);
+       lock_kernel();
+       daemonize(name);
+       sigfillset(&current->blocked);
+       unlock_kernel();
 
-       dvb_kernel_thread_setup (name);
+       fepriv->check_wrapped = 0;
+       fepriv->quality = 0;
+       fepriv->delay = 3*HZ;
+       fepriv->status = 0;
+       fepriv->wakeup = 0;
+       fepriv->reinitialise = 0;
 
-       dvb_call_frontend_notifiers (fe, 0);
-       dvb_frontend_init (fe);
-       fe->wakeup = 0;
+       dvb_frontend_init(fe);
 
        while (1) {
-               up (&fe->sem);      /* is locked when we enter the thread... */
+               up(&fepriv->sem);           /* is locked when we enter the thread... */
 
-               timeout = wait_event_interruptible_timeout(fe->wait_queue,0 != dvb_frontend_should_wakeup (fe), delay);
-               if (-ERESTARTSYS == timeout || 0 != dvb_frontend_is_exiting (fe)) {
+               timeout = wait_event_interruptible_timeout(fepriv->wait_queue,
+                                                          dvb_frontend_should_wakeup(fe),
+                                                          fepriv->delay);
+               if (0 != dvb_frontend_is_exiting(fe)) {
                        /* got signal or quitting */
                        break;
                }
 
-               if (down_interruptible (&fe->sem))
-                       break;
+               try_to_freeze();
 
-               // if we've got no parameters, just keep idling
-               if (fe->state & FESTATE_IDLE) {
-                       delay = 3*HZ;
-                       quality = 0;
-                       continue;
-               }
-
-               // get the frontend status
-               dvb_frontend_internal_ioctl (&fe->frontend, FE_READ_STATUS, &s);
-               if (s != fe->status)
-                       dvb_frontend_add_event (fe, s);
-
-               // if we're not tuned, and we have a lock, move to the TUNED state
-               if ((fe->state & FESTATE_WAITFORLOCK) && (s & FE_HAS_LOCK)) {
-                       update_delay(&quality, &delay, fe->min_delay, s & FE_HAS_LOCK);
-                       fe->state = FESTATE_TUNED;
+               if (down_interruptible(&fepriv->sem))
+                       break;
 
-                       // if we're tuned, then we have determined the correct inversion
-                       if ((!(fe->info->caps & FE_CAN_INVERSION_AUTO)) && (fe->parameters.inversion == INVERSION_AUTO)) {
-                               fe->parameters.inversion = fe->inversion;
+               if (fepriv->reinitialise) {
+                       dvb_frontend_init(fe);
+                       if (fepriv->tone != -1) {
+                               fe->ops.set_tone(fe, fepriv->tone);
                        }
-                       continue;
-               }
-
-               // if we are tuned already, check we're still locked
-               if (fe->state & FESTATE_TUNED) {
-                       update_delay(&quality, &delay, fe->min_delay, s & FE_HAS_LOCK);
-
-                       // we're tuned, and the lock is still good...
-               if (s & FE_HAS_LOCK) {
-                               continue;
-               } else {
-                               // if we _WERE_ tuned, but now don't have a lock, need to zigzag
-                               fe->state = FESTATE_ZIGZAG_FAST;
-                               fe->started_auto_step = fe->auto_step;
-                               check_wrapped = 0;
-                               // fallthrough
+                       if (fepriv->voltage != -1) {
+                               fe->ops.set_voltage(fe, fepriv->voltage);
                        }
+                       fepriv->reinitialise = 0;
                }
 
-               // don't actually do anything if we're in the LOSTLOCK state, the frontend is set to
-               // FE_CAN_RECOVER, and the max_drift is 0
-               if ((fe->state & FESTATE_LOSTLOCK) && 
-                   (fe->info->caps & FE_CAN_RECOVER) && (fe->max_drift == 0)) {
-                       update_delay(&quality, &delay, fe->min_delay, s & FE_HAS_LOCK);
-                                               continue;
+               /* do an iteration of the tuning loop */
+               if (fe->ops.get_frontend_algo) {
+                       if (fe->ops.get_frontend_algo(fe) == FE_ALGO_HW) {
+                               /* have we been asked to retune? */
+                               params = NULL;
+                               if (fepriv->state & FESTATE_RETUNE) {
+                                       params = &fepriv->parameters;
+                                       fepriv->state = FESTATE_TUNED;
                                }
-           
-               // don't do anything if we're in the DISEQC state, since this might be someone
-               // with a motorized dish controlled by DISEQC. If its actually a re-tune, there will
-               // be a SET_FRONTEND soon enough.
-               if (fe->state & FESTATE_DISEQC) {
-                       update_delay(&quality, &delay, fe->min_delay, s & FE_HAS_LOCK);
-                       continue;
-                               }
-
-               // if we're in the RETUNE state, set everything up for a brand new scan,
-               // keeping the current inversion setting, as the next tune is _very_ likely
-               // to require the same
-               if (fe->state & FESTATE_RETUNE) {
-                       fe->lnb_drift = 0;
-                       fe->auto_step = 0;
-                       fe->auto_sub_step = 0;
-                       fe->started_auto_step = 0;
-                       check_wrapped = 0;
-               }
 
-               // fast zigzag.
-               if ((fe->state & FESTATE_SEARCHING_FAST) || (fe->state & FESTATE_RETUNE)) {
-                       delay = fe->min_delay;
-
-                       // peform a tune
-                       if (dvb_frontend_autotune(fe, check_wrapped)) {
-                               // OK, if we've run out of trials at the fast speed. Drop back to
-                               // slow for the _next_ attempt
-                               fe->state = FESTATE_SEARCHING_SLOW;
-                               fe->started_auto_step = fe->auto_step;
-                               continue;
-                       }
-                       check_wrapped = 1;
-
-                       // if we've just retuned, enter the ZIGZAG_FAST state. This ensures
-                       // we cannot return from an FE_SET_FRONTEND ioctl before the first frontend
-                       // tune occurs
-                       if (fe->state & FESTATE_RETUNE) {
-                               fe->state = FESTATE_TUNING_FAST;
-                               wake_up_interruptible(&fe->wait_queue);
-                       }
-               }
+                               fe->ops.tune(fe, params, fepriv->tune_mode_flags, &fepriv->delay, &s);
+                               if (s != fepriv->status) {
+                                       dvb_frontend_add_event(fe, s);
+                                       fepriv->status = s;
+                               }
+                       } else
+                               dvb_frontend_swzigzag(fe);
+               } else
+                       dvb_frontend_swzigzag(fe);
+       }
 
-               // slow zigzag
-               if (fe->state & FESTATE_SEARCHING_SLOW) {
-                       update_delay(&quality, &delay, fe->min_delay, s & FE_HAS_LOCK);
-                   
-                       // Note: don't bother checking for wrapping; we stay in this state 
-                       // until we get a lock
-                       dvb_frontend_autotune(fe, 0);
+       if (dvb_shutdown_timeout) {
+               if (dvb_powerdown_on_sleep)
+                       if (fe->ops.set_voltage)
+                               fe->ops.set_voltage(fe, SEC_VOLTAGE_OFF);
+               if (fe->ops.tuner_ops.sleep) {
+                       fe->ops.tuner_ops.sleep(fe);
+                       if (fe->ops.i2c_gate_ctrl)
+                               fe->ops.i2c_gate_ctrl(fe, 0);
                }
-       };
-
-       if (dvb_shutdown_timeout)
-               dvb_frontend_internal_ioctl (&fe->frontend, FE_SLEEP, NULL); 
-
-       up (&fe->sem);
+               if (fe->ops.sleep)
+                       fe->ops.sleep(fe);
+       }
 
-       fe->thread_pid = 0;
+       fepriv->thread_pid = 0;
        mb();
 
        dvb_frontend_wakeup(fe);
        return 0;
 }
 
-
-static void dvb_frontend_stop (struct dvb_frontend_data *fe)
+static void dvb_frontend_stop(struct dvb_frontend *fe)
 {
        unsigned long ret;
+       struct dvb_frontend_private *fepriv = fe->frontend_priv;
 
        dprintk ("%s\n", __FUNCTION__);
 
-               fe->exit = 1;
+       fepriv->exit = 1;
        mb();
 
-       if (!fe->thread_pid)
+       if (!fepriv->thread_pid)
                return;
 
        /* check if the thread is really alive */
-       if (kill_proc(fe->thread_pid, 0, 1) == -ESRCH) {
+       if (kill_proc(fepriv->thread_pid, 0, 1) == -ESRCH) {
                printk("dvb_frontend_stop: thread PID %d already died\n",
-                               fe->thread_pid);
+                               fepriv->thread_pid);
                /* make sure the mutex was not held by the thread */
-               init_MUTEX (&fe->sem);
+               init_MUTEX (&fepriv->sem);
                return;
        }
 
@@ -649,184 +624,389 @@ static void dvb_frontend_stop (struct dvb_frontend_data *fe)
        dvb_frontend_wakeup(fe);
 
        /* wait until the frontend thread has exited */
-       ret = wait_event_interruptible(fe->wait_queue,0 == fe->thread_pid);
+       ret = wait_event_interruptible(fepriv->wait_queue,0 == fepriv->thread_pid);
        if (-ERESTARTSYS != ret) {
-               fe->state = FESTATE_IDLE;
+               fepriv->state = FESTATE_IDLE;
                return;
        }
-       fe->state = FESTATE_IDLE;
+       fepriv->state = FESTATE_IDLE;
 
        /* paranoia check in case a signal arrived */
-       if (fe->thread_pid)
+       if (fepriv->thread_pid)
                printk("dvb_frontend_stop: warning: thread PID %d won't exit\n",
-                               fe->thread_pid);
+                               fepriv->thread_pid);
 }
 
+s32 timeval_usec_diff(struct timeval lasttime, struct timeval curtime)
+{
+       return ((curtime.tv_usec < lasttime.tv_usec) ?
+               1000000 - lasttime.tv_usec + curtime.tv_usec :
+               curtime.tv_usec - lasttime.tv_usec);
+}
+EXPORT_SYMBOL(timeval_usec_diff);
 
-static int dvb_frontend_start (struct dvb_frontend_data *fe)
+static inline void timeval_usec_add(struct timeval *curtime, u32 add_usec)
+{
+       curtime->tv_usec += add_usec;
+       if (curtime->tv_usec >= 1000000) {
+               curtime->tv_usec -= 1000000;
+               curtime->tv_sec++;
+       }
+}
+
+/*
+ * Sleep until gettimeofday() > waketime + add_usec
+ * This needs to be as precise as possible, but as the delay is
+ * usually between 2ms and 32ms, it is done using a scheduled msleep
+ * followed by usleep (normally a busy-wait loop) for the remainder
+ */
+void dvb_frontend_sleep_until(struct timeval *waketime, u32 add_usec)
+{
+       struct timeval lasttime;
+       s32 delta, newdelta;
+
+       timeval_usec_add(waketime, add_usec);
+
+       do_gettimeofday(&lasttime);
+       delta = timeval_usec_diff(lasttime, *waketime);
+       if (delta > 2500) {
+               msleep((delta - 1500) / 1000);
+               do_gettimeofday(&lasttime);
+               newdelta = timeval_usec_diff(lasttime, *waketime);
+               delta = (newdelta > delta) ? 0 : newdelta;
+       }
+       if (delta > 0)
+               udelay(delta);
+}
+EXPORT_SYMBOL(dvb_frontend_sleep_until);
+
+static int dvb_frontend_start(struct dvb_frontend *fe)
 {
        int ret;
+       struct dvb_frontend_private *fepriv = fe->frontend_priv;
 
        dprintk ("%s\n", __FUNCTION__);
 
-       if (fe->thread_pid) {
-               if (!fe->exit)
+       if (fepriv->thread_pid) {
+               if (!fepriv->exit)
                        return 0;
                else
-               dvb_frontend_stop (fe);
+                       dvb_frontend_stop (fe);
        }
 
        if (signal_pending(current))
                return -EINTR;
-       if (down_interruptible (&fe->sem))
+       if (down_interruptible (&fepriv->sem))
                return -EINTR;
 
-       fe->state = FESTATE_IDLE;
-       fe->exit = 0;
-       fe->thread_pid = 0;
+       fepriv->state = FESTATE_IDLE;
+       fepriv->exit = 0;
+       fepriv->thread_pid = 0;
        mb();
 
        ret = kernel_thread (dvb_frontend_thread, fe, 0);
+
        if (ret < 0) {
                printk("dvb_frontend_start: failed to start kernel_thread (%d)\n", ret);
-               up(&fe->sem);
+               up(&fepriv->sem);
                return ret;
        }
-       fe->thread_pid = ret;
+       fepriv->thread_pid = ret;
 
        return 0;
 }
 
-
-static int dvb_frontend_ioctl (struct inode *inode, struct file *file,
+static int dvb_frontend_ioctl(struct inode *inode, struct file *file,
                        unsigned int cmd, void *parg)
 {
        struct dvb_device *dvbdev = file->private_data;
-       struct dvb_frontend_data *fe = dvbdev->priv;
-       struct dvb_frontend_tune_settings fetunesettings;
-       int err = 0;
+       struct dvb_frontend *fe = dvbdev->priv;
+       struct dvb_frontend_private *fepriv = fe->frontend_priv;
+       int err = -EOPNOTSUPP;
 
        dprintk ("%s\n", __FUNCTION__);
 
-       if (!fe || !fe->frontend.ioctl || fe->exit)
+       if (!fe || fepriv->exit)
                return -ENODEV;
 
-       if (down_interruptible (&fe->sem))
+       if ((file->f_flags & O_ACCMODE) == O_RDONLY &&
+           (_IOC_DIR(cmd) != _IOC_READ || cmd == FE_GET_EVENT ||
+            cmd == FE_DISEQC_RECV_SLAVE_REPLY))
+               return -EPERM;
+
+       if (down_interruptible (&fepriv->sem))
                return -ERESTARTSYS;
 
        switch (cmd) {
+       case FE_GET_INFO: {
+               struct dvb_frontend_info* info = parg;
+               memcpy(info, &fe->ops.info, sizeof(struct dvb_frontend_info));
+
+               /* Force the CAN_INVERSION_AUTO bit on. If the frontend doesn't
+                * do it, it is done for it. */
+               info->caps |= FE_CAN_INVERSION_AUTO;
+               err = 0;
+               break;
+       }
+
+       case FE_READ_STATUS: {
+               fe_status_t* status = parg;
+
+               /* if retune was requested but hasn't occured yet, prevent
+                * that user get signal state from previous tuning */
+               if(fepriv->state == FESTATE_RETUNE) {
+                       err=0;
+                       *status = 0;
+                       break;
+               }
+
+               if (fe->ops.read_status)
+                       err = fe->ops.read_status(fe, status);
+               break;
+       }
+       case FE_READ_BER:
+               if (fe->ops.read_ber)
+                       err = fe->ops.read_ber(fe, (__u32*) parg);
+               break;
+
+       case FE_READ_SIGNAL_STRENGTH:
+               if (fe->ops.read_signal_strength)
+                       err = fe->ops.read_signal_strength(fe, (__u16*) parg);
+               break;
+
+       case FE_READ_SNR:
+               if (fe->ops.read_snr)
+                       err = fe->ops.read_snr(fe, (__u16*) parg);
+               break;
+
+       case FE_READ_UNCORRECTED_BLOCKS:
+               if (fe->ops.read_ucblocks)
+                       err = fe->ops.read_ucblocks(fe, (__u32*) parg);
+               break;
+
+
+       case FE_DISEQC_RESET_OVERLOAD:
+               if (fe->ops.diseqc_reset_overload) {
+                       err = fe->ops.diseqc_reset_overload(fe);
+                       fepriv->state = FESTATE_DISEQC;
+                       fepriv->status = 0;
+               }
+               break;
+
        case FE_DISEQC_SEND_MASTER_CMD:
+               if (fe->ops.diseqc_send_master_cmd) {
+                       err = fe->ops.diseqc_send_master_cmd(fe, (struct dvb_diseqc_master_cmd*) parg);
+                       fepriv->state = FESTATE_DISEQC;
+                       fepriv->status = 0;
+               }
+               break;
+
        case FE_DISEQC_SEND_BURST:
+               if (fe->ops.diseqc_send_burst) {
+                       err = fe->ops.diseqc_send_burst(fe, (fe_sec_mini_cmd_t) parg);
+                       fepriv->state = FESTATE_DISEQC;
+                       fepriv->status = 0;
+               }
+               break;
+
        case FE_SET_TONE:
-               if (fe->status)
-                       dvb_call_frontend_notifiers (fe, 0);
-               dvb_frontend_internal_ioctl (&fe->frontend, cmd, parg);
-               fe->state = FESTATE_DISEQC;
+               if (fe->ops.set_tone) {
+                       err = fe->ops.set_tone(fe, (fe_sec_tone_mode_t) parg);
+                       fepriv->tone = (fe_sec_tone_mode_t) parg;
+                       fepriv->state = FESTATE_DISEQC;
+                       fepriv->status = 0;
+               }
+               break;
+
+       case FE_SET_VOLTAGE:
+               if (fe->ops.set_voltage) {
+                       err = fe->ops.set_voltage(fe, (fe_sec_voltage_t) parg);
+                       fepriv->voltage = (fe_sec_voltage_t) parg;
+                       fepriv->state = FESTATE_DISEQC;
+                       fepriv->status = 0;
+               }
+               break;
+
+       case FE_DISHNETWORK_SEND_LEGACY_CMD:
+               if (fe->ops.dishnetwork_send_legacy_command) {
+                       err = fe->ops.dishnetwork_send_legacy_command(fe, (unsigned long) parg);
+                       fepriv->state = FESTATE_DISEQC;
+                       fepriv->status = 0;
+               } else if (fe->ops.set_voltage) {
+                       /*
+                        * NOTE: This is a fallback condition.  Some frontends
+                        * (stv0299 for instance) take longer than 8msec to
+                        * respond to a set_voltage command.  Those switches
+                        * need custom routines to switch properly.  For all
+                        * other frontends, the following shoule work ok.
+                        * Dish network legacy switches (as used by Dish500)
+                        * are controlled by sending 9-bit command words
+                        * spaced 8msec apart.
+                        * the actual command word is switch/port dependant
+                        * so it is up to the userspace application to send
+                        * the right command.
+                        * The command must always start with a '0' after
+                        * initialization, so parg is 8 bits and does not
+                        * include the initialization or start bit
+                        */
+                       unsigned long cmd = ((unsigned long) parg) << 1;
+                       struct timeval nexttime;
+                       struct timeval tv[10];
+                       int i;
+                       u8 last = 1;
+                       if (dvb_frontend_debug)
+                               printk("%s switch command: 0x%04lx\n", __FUNCTION__, cmd);
+                       do_gettimeofday(&nexttime);
+                       if (dvb_frontend_debug)
+                               memcpy(&tv[0], &nexttime, sizeof(struct timeval));
+                       /* before sending a command, initialize by sending
+                        * a 32ms 18V to the switch
+                        */
+                       fe->ops.set_voltage(fe, SEC_VOLTAGE_18);
+                       dvb_frontend_sleep_until(&nexttime, 32000);
+
+                       for (i = 0; i < 9; i++) {
+                               if (dvb_frontend_debug)
+                                       do_gettimeofday(&tv[i + 1]);
+                               if ((cmd & 0x01) != last) {
+                                       /* set voltage to (last ? 13V : 18V) */
+                                       fe->ops.set_voltage(fe, (last) ? SEC_VOLTAGE_13 : SEC_VOLTAGE_18);
+                                       last = (last) ? 0 : 1;
+                               }
+                               cmd = cmd >> 1;
+                               if (i != 8)
+                                       dvb_frontend_sleep_until(&nexttime, 8000);
+                       }
+                       if (dvb_frontend_debug) {
+                               printk("%s(%d): switch delay (should be 32k followed by all 8k\n",
+                                       __FUNCTION__, fe->dvb->num);
+                               for (i = 1; i < 10; i++)
+                                       printk("%d: %d\n", i, timeval_usec_diff(tv[i-1] , tv[i]));
+                       }
+                       err = 0;
+                       fepriv->state = FESTATE_DISEQC;
+                       fepriv->status = 0;
+               }
+               break;
+
+       case FE_DISEQC_RECV_SLAVE_REPLY:
+               if (fe->ops.diseqc_recv_slave_reply)
+                       err = fe->ops.diseqc_recv_slave_reply(fe, (struct dvb_diseqc_slave_reply*) parg);
+               break;
+
+       case FE_ENABLE_HIGH_LNB_VOLTAGE:
+               if (fe->ops.enable_high_lnb_voltage)
+                       err = fe->ops.enable_high_lnb_voltage(fe, (long) parg);
                break;
 
-       case FE_SET_FRONTEND:
-               fe->state = FESTATE_RETUNE;
-           
-               memcpy (&fe->parameters, parg,
+       case FE_SET_FRONTEND: {
+               struct dvb_frontend_tune_settings fetunesettings;
+
+               memcpy (&fepriv->parameters, parg,
                        sizeof (struct dvb_frontend_parameters));
 
                memset(&fetunesettings, 0, sizeof(struct dvb_frontend_tune_settings));
                memcpy(&fetunesettings.parameters, parg,
                       sizeof (struct dvb_frontend_parameters));
-                   
-               // force auto frequency inversion if requested
+
+               /* force auto frequency inversion if requested */
                if (dvb_force_auto_inversion) {
-                       fe->parameters.inversion = INVERSION_AUTO;
+                       fepriv->parameters.inversion = INVERSION_AUTO;
                        fetunesettings.parameters.inversion = INVERSION_AUTO;
                }
+               if (fe->ops.info.type == FE_OFDM) {
+                       /* without hierachical coding code_rate_LP is irrelevant,
+                        * so we tolerate the otherwise invalid FEC_NONE setting */
+                       if (fepriv->parameters.u.ofdm.hierarchy_information == HIERARCHY_NONE &&
+                           fepriv->parameters.u.ofdm.code_rate_LP == FEC_NONE)
+                               fepriv->parameters.u.ofdm.code_rate_LP = FEC_AUTO;
+               }
 
-               // get frontend-specific tuning settings
-               if (dvb_frontend_internal_ioctl(&fe->frontend, FE_GET_TUNE_SETTINGS, &fetunesettings) == 0) {
-                       fe->min_delay = (fetunesettings.min_delay_ms * HZ) / 1000;
-                       fe->max_drift = fetunesettings.max_drift;
-                       fe->step_size = fetunesettings.step_size;
+               /* get frontend-specific tuning settings */
+               if (fe->ops.get_tune_settings && (fe->ops.get_tune_settings(fe, &fetunesettings) == 0)) {
+                       fepriv->min_delay = (fetunesettings.min_delay_ms * HZ) / 1000;
+                       fepriv->max_drift = fetunesettings.max_drift;
+                       fepriv->step_size = fetunesettings.step_size;
                } else {
-                       // default values
-                       switch(fe->info->type) {
+                       /* default values */
+                       switch(fe->ops.info.type) {
                        case FE_QPSK:
-                               fe->min_delay = HZ/20; // default mindelay of 50ms
-                               fe->step_size = fe->parameters.u.qpsk.symbol_rate / 16000;
-                               fe->max_drift = fe->parameters.u.qpsk.symbol_rate / 2000;
-               break;
-                           
+                               fepriv->min_delay = HZ/20;
+                               fepriv->step_size = fepriv->parameters.u.qpsk.symbol_rate / 16000;
+                               fepriv->max_drift = fepriv->parameters.u.qpsk.symbol_rate / 2000;
+                               break;
+
                        case FE_QAM:
-                               fe->min_delay = HZ/20; // default mindelay of 50ms
-                               fe->step_size = 0;
-                               fe->max_drift = 0; // don't want any zigzagging under DVB-C frontends
+                               fepriv->min_delay = HZ/20;
+                               fepriv->step_size = 0; /* no zigzag */
+                               fepriv->max_drift = 0;
                                break;
-                           
+
                        case FE_OFDM:
-                               fe->min_delay = HZ/20; // default mindelay of 50ms
-                               fe->step_size = fe->info->frequency_stepsize * 2;
-                               fe->max_drift = (fe->info->frequency_stepsize * 2) + 1;
+                               fepriv->min_delay = HZ/20;
+                               fepriv->step_size = fe->ops.info.frequency_stepsize * 2;
+                               fepriv->max_drift = (fe->ops.info.frequency_stepsize * 2) + 1;
+                               break;
+                       case FE_ATSC:
+                               fepriv->min_delay = HZ/20;
+                               fepriv->step_size = 0;
+                               fepriv->max_drift = 0;
                                break;
                        }
                }
-               if (dvb_override_tune_delay > 0) {
-                      fe->min_delay = (dvb_override_tune_delay * HZ) / 1000;
-               }
+               if (dvb_override_tune_delay > 0)
+                       fepriv->min_delay = (dvb_override_tune_delay * HZ) / 1000;
 
-               dvb_frontend_add_event (fe, 0);     
+               fepriv->state = FESTATE_RETUNE;
+               dvb_frontend_wakeup(fe);
+               dvb_frontend_add_event(fe, 0);
+               fepriv->status = 0;
+               err = 0;
                break;
+       }
 
        case FE_GET_EVENT:
                err = dvb_frontend_get_event (fe, parg, file->f_flags);
                break;
-       case FE_GET_FRONTEND:
-               memcpy (parg, &fe->parameters,
-                       sizeof (struct dvb_frontend_parameters));
-               /*  fall-through... */
-       default:
-               err = dvb_frontend_internal_ioctl (&fe->frontend, cmd, parg);
-       };
-
-       up (&fe->sem);
-       if (err < 0)
-               return err;
 
-       // Force the CAN_INVERSION_AUTO bit on. If the frontend doesn't do it, it is done for it.
-       if ((cmd == FE_GET_INFO) && (err == 0)) {
-               struct dvb_frontend_info* tmp = (struct dvb_frontend_info*) parg;
-               tmp->caps |= FE_CAN_INVERSION_AUTO;
-       }
+       case FE_GET_FRONTEND:
+               if (fe->ops.get_frontend) {
+                       memcpy (parg, &fepriv->parameters, sizeof (struct dvb_frontend_parameters));
+                       err = fe->ops.get_frontend(fe, (struct dvb_frontend_parameters*) parg);
+               }
+               break;
 
-       // if the frontend has just been set, wait until the first tune has finished.
-       // This ensures the app doesn't start reading data too quickly, perhaps from the
-       // previous lock, which is REALLY CONFUSING TO DEBUG!
-       if ((cmd == FE_SET_FRONTEND) && (err == 0)) {
-               dvb_frontend_wakeup(fe);
-               err = wait_event_interruptible(fe->wait_queue, fe->state & ~FESTATE_RETUNE);
-       }
+       case FE_SET_FRONTEND_TUNE_MODE:
+               fepriv->tune_mode_flags = (unsigned long) parg;
+               err = 0;
+               break;
+       };
 
+       up (&fepriv->sem);
        return err;
 }
 
-
-static unsigned int dvb_frontend_poll (struct file *file, struct poll_table_struct *wait)
+static unsigned int dvb_frontend_poll(struct file *file, struct poll_table_struct *wait)
 {
        struct dvb_device *dvbdev = file->private_data;
-       struct dvb_frontend_data *fe = dvbdev->priv;
+       struct dvb_frontend *fe = dvbdev->priv;
+       struct dvb_frontend_private *fepriv = fe->frontend_priv;
 
        dprintk ("%s\n", __FUNCTION__);
 
-       poll_wait (file, &fe->events.wait_queue, wait);
+       poll_wait (file, &fepriv->events.wait_queue, wait);
 
-       if (fe->events.eventw != fe->events.eventr)
+       if (fepriv->events.eventw != fepriv->events.eventr)
                return (POLLIN | POLLRDNORM | POLLPRI);
 
        return 0;
 }
 
-
-static int dvb_frontend_open (struct inode *inode, struct file *file)
+static int dvb_frontend_open(struct inode *inode, struct file *file)
 {
        struct dvb_device *dvbdev = file->private_data;
-       struct dvb_frontend_data *fe = dvbdev->priv;
+       struct dvb_frontend *fe = dvbdev->priv;
+       struct dvb_frontend_private *fepriv = fe->frontend_priv;
        int ret;
 
        dprintk ("%s\n", __FUNCTION__);
@@ -834,220 +1014,48 @@ static int dvb_frontend_open (struct inode *inode, struct file *file)
        if ((ret = dvb_generic_open (inode, file)) < 0)
                return ret;
 
+       if (fe->ops.ts_bus_ctrl) {
+               if ((ret = fe->ops.ts_bus_ctrl (fe, 1)) < 0) {
+                       dvb_generic_release (inode, file);
+                       return ret;
+               }
+       }
+
        if ((file->f_flags & O_ACCMODE) != O_RDONLY) {
+
+               /* normal tune mode when opened R/W */
+               fepriv->tune_mode_flags &= ~FE_TUNE_MODE_ONESHOT;
+               fepriv->tone = -1;
+               fepriv->voltage = -1;
+
                ret = dvb_frontend_start (fe);
                if (ret)
                        dvb_generic_release (inode, file);
 
                /*  empty event queue */
-               fe->events.eventr = fe->events.eventw = 0;
+               fepriv->events.eventr = fepriv->events.eventw = 0;
        }
-       
+
        return ret;
 }
 
-
-static int dvb_frontend_release (struct inode *inode, struct file *file)
+static int dvb_frontend_release(struct inode *inode, struct file *file)
 {
        struct dvb_device *dvbdev = file->private_data;
-       struct dvb_frontend_data *fe = dvbdev->priv;
+       struct dvb_frontend *fe = dvbdev->priv;
+       struct dvb_frontend_private *fepriv = fe->frontend_priv;
 
        dprintk ("%s\n", __FUNCTION__);
 
        if ((file->f_flags & O_ACCMODE) != O_RDONLY)
-               fe->release_jiffies = jiffies;
+               fepriv->release_jiffies = jiffies;
 
-       return dvb_generic_release (inode, file);
-}
-
-
-
-int
-dvb_add_frontend_ioctls (struct dvb_adapter *adapter,
-                         int (*before_ioctl) (struct dvb_frontend *frontend,
-                                              unsigned int cmd, void *arg),
-                         int (*after_ioctl)  (struct dvb_frontend *frontend,
-                                              unsigned int cmd, void *arg),
-                        void *before_after_data)
-{
-       struct dvb_frontend_ioctl_data *ioctl;
-        struct list_head *entry;
+       if (fe->ops.ts_bus_ctrl)
+               fe->ops.ts_bus_ctrl (fe, 0);
 
-       dprintk ("%s\n", __FUNCTION__);
-
-       if (down_interruptible (&frontend_mutex))
-               return -ERESTARTSYS;
-
-       ioctl = kmalloc (sizeof(struct dvb_frontend_ioctl_data), GFP_KERNEL);
-
-       if (!ioctl) {
-               up (&frontend_mutex);
-               return -ENOMEM;
-       }
-
-       ioctl->adapter = adapter;
-       ioctl->before_ioctl = before_ioctl;
-       ioctl->after_ioctl = after_ioctl;
-       ioctl->before_after_data = before_after_data;
-
-       list_add_tail (&ioctl->list_head, &frontend_ioctl_list);
-
-       list_for_each (entry, &frontend_list) {
-               struct dvb_frontend_data *fe;
-
-               fe = list_entry (entry, struct dvb_frontend_data, list_head);
-
-               if (fe->frontend.i2c->adapter == adapter &&
-                   fe->frontend.before_ioctl == NULL &&
-                   fe->frontend.after_ioctl == NULL)
-               {
-                       fe->frontend.before_ioctl = before_ioctl;
-                       fe->frontend.after_ioctl = after_ioctl;
-                       fe->frontend.before_after_data = before_after_data;
-               }
-       }
-
-       up (&frontend_mutex);
-
-       return 0;
-}
-
-
-void
-dvb_remove_frontend_ioctls (struct dvb_adapter *adapter,
-                           int (*before_ioctl) (struct dvb_frontend *frontend,
-                                                 unsigned int cmd, void *arg),
-                            int (*after_ioctl)  (struct dvb_frontend *frontend,
-                                                 unsigned int cmd, void *arg))
-{
-       struct list_head *entry, *n;
-
-       dprintk ("%s\n", __FUNCTION__);
-
-       down (&frontend_mutex);
-
-       list_for_each (entry, &frontend_list) {
-               struct dvb_frontend_data *fe;
-
-               fe = list_entry (entry, struct dvb_frontend_data, list_head);
-
-               if (fe->frontend.i2c->adapter == adapter &&
-                   fe->frontend.before_ioctl == before_ioctl &&
-                   fe->frontend.after_ioctl == after_ioctl)
-               {
-                       fe->frontend.before_ioctl = NULL;
-                       fe->frontend.after_ioctl = NULL;
-
-               }
-       }
-
-       list_for_each_safe (entry, n, &frontend_ioctl_list) {
-               struct dvb_frontend_ioctl_data *ioctl;
-
-               ioctl = list_entry (entry, struct dvb_frontend_ioctl_data, list_head);
-
-               if (ioctl->adapter == adapter &&
-                   ioctl->before_ioctl == before_ioctl &&
-                   ioctl->after_ioctl == after_ioctl)
-               {
-                       list_del (&ioctl->list_head);
-                       kfree (ioctl);
-                       
-                       break;
-               }
-       }
-
-       up (&frontend_mutex);
-}
-
-
-int
-dvb_add_frontend_notifier (struct dvb_adapter *adapter,
-                          void (*callback) (fe_status_t s, void *data),
-                          void *data)
-{
-       struct dvb_frontend_notifier_data *notifier;
-       struct list_head *entry;
-
-       dprintk ("%s\n", __FUNCTION__);
-
-       if (down_interruptible (&frontend_mutex))
-               return -ERESTARTSYS;
-
-       notifier = kmalloc (sizeof(struct dvb_frontend_notifier_data), GFP_KERNEL);
-
-       if (!notifier) {
-               up (&frontend_mutex);
-               return -ENOMEM;
-       }
-
-       notifier->adapter = adapter;
-       notifier->callback = callback;
-       notifier->data = data;
-
-       list_add_tail (&notifier->list_head, &frontend_notifier_list);
-
-       list_for_each (entry, &frontend_list) {
-               struct dvb_frontend_data *fe;
-
-               fe = list_entry (entry, struct dvb_frontend_data, list_head);
-
-               if (fe->frontend.i2c->adapter == adapter &&
-                   fe->frontend.notifier_callback == NULL)
-               {
-                       fe->frontend.notifier_callback = callback;
-                       fe->frontend.notifier_data = data;
-               }
-       }
-
-       up (&frontend_mutex);
-
-       return 0;
-}
-
-
-void
-dvb_remove_frontend_notifier (struct dvb_adapter *adapter,
-                             void (*callback) (fe_status_t s, void *data))
-{
-       struct list_head *entry, *n;
-
-       dprintk ("%s\n", __FUNCTION__);
-
-       down (&frontend_mutex);
-
-       list_for_each (entry, &frontend_list) {
-               struct dvb_frontend_data *fe;
-
-               fe = list_entry (entry, struct dvb_frontend_data, list_head);
-
-               if (fe->frontend.i2c->adapter == adapter &&
-                   fe->frontend.notifier_callback == callback)
-               {
-                       fe->frontend.notifier_callback = NULL;
-
-               }
-       }
-
-       list_for_each_safe (entry, n, &frontend_notifier_list) {
-               struct dvb_frontend_notifier_data *notifier;
-
-               notifier = list_entry (entry, struct dvb_frontend_notifier_data, list_head);
-
-               if (notifier->adapter == adapter &&
-                   notifier->callback == callback)
-               {
-                       list_del (&notifier->list_head);
-                       kfree (notifier);
-                       
-                       break;
-               }
-       }
-
-       up (&frontend_mutex);
+       return dvb_generic_release (inode, file);
 }
 
-
 static struct file_operations dvb_frontend_fops = {
        .owner          = THIS_MODULE,
        .ioctl          = dvb_generic_ioctl,
@@ -1056,17 +1064,10 @@ static struct file_operations dvb_frontend_fops = {
        .release        = dvb_frontend_release
 };
 
-
-
-int
-dvb_register_frontend (int (*ioctl) (struct dvb_frontend *frontend,
-                                    unsigned int cmd, void *arg),
-                      struct dvb_i2c_bus *i2c,
-                      void *data,
-                      struct dvb_frontend_info *info)
+int dvb_register_frontend(struct dvb_adapter* dvb,
+                         struct dvb_frontend* fe)
 {
-       struct list_head *entry;
-       struct dvb_frontend_data *fe;
+       struct dvb_frontend_private *fepriv;
        static const struct dvb_device dvbdev_template = {
                .users = ~0,
                .writers = 1,
@@ -1077,113 +1078,79 @@ dvb_register_frontend (int (*ioctl) (struct dvb_frontend *frontend,
 
        dprintk ("%s\n", __FUNCTION__);
 
-       if (down_interruptible (&frontend_mutex))
+       if (mutex_lock_interruptible(&frontend_mutex))
                return -ERESTARTSYS;
 
-       if (!(fe = kmalloc (sizeof (struct dvb_frontend_data), GFP_KERNEL))) {
-               up (&frontend_mutex);
+       fe->frontend_priv = kzalloc(sizeof(struct dvb_frontend_private), GFP_KERNEL);
+       if (fe->frontend_priv == NULL) {
+               mutex_unlock(&frontend_mutex);
                return -ENOMEM;
        }
+       fepriv = fe->frontend_priv;
 
-       memset (fe, 0, sizeof (struct dvb_frontend_data));
-
-       init_MUTEX (&fe->sem);
-       init_waitqueue_head (&fe->wait_queue);
-       init_waitqueue_head (&fe->events.wait_queue);
-       init_MUTEX (&fe->events.sem);
-       fe->events.eventw = fe->events.eventr = 0;
-       fe->events.overflow = 0;
-
-       fe->frontend.ioctl = ioctl;
-       fe->frontend.i2c = i2c;
-       fe->frontend.data = data;
-       fe->info = info;
-       fe->inversion = INVERSION_OFF;
-
-       list_for_each (entry, &frontend_ioctl_list) {
-               struct dvb_frontend_ioctl_data *ioctl;
-
-               ioctl = list_entry (entry,
-                                   struct dvb_frontend_ioctl_data,
-                                   list_head);
-
-               if (ioctl->adapter == i2c->adapter) {
-                       fe->frontend.before_ioctl = ioctl->before_ioctl;
-                       fe->frontend.after_ioctl = ioctl->after_ioctl;
-                       fe->frontend.before_after_data = ioctl->before_after_data;
-                       break;
-               }
-       }
-
-       list_for_each (entry, &frontend_notifier_list) {
-               struct dvb_frontend_notifier_data *notifier;
+       init_MUTEX (&fepriv->sem);
+       init_waitqueue_head (&fepriv->wait_queue);
+       init_waitqueue_head (&fepriv->events.wait_queue);
+       init_MUTEX (&fepriv->events.sem);
+       fe->dvb = dvb;
+       fepriv->inversion = INVERSION_OFF;
 
-               notifier = list_entry (entry,
-                                      struct dvb_frontend_notifier_data,
-                                      list_head);
+       printk ("DVB: registering frontend %i (%s)...\n",
+               fe->dvb->num,
+               fe->ops.info.name);
 
-               if (notifier->adapter == i2c->adapter) {
-                       fe->frontend.notifier_callback = notifier->callback;
-                       fe->frontend.notifier_data = notifier->data;
-                       break;
-               }
-       }
-
-       list_add_tail (&fe->list_head, &frontend_list);
-
-       printk ("DVB: registering frontend %i:%i (%s)...\n",
-               fe->frontend.i2c->adapter->num, fe->frontend.i2c->id,
-               fe->info->name);
-
-       dvb_register_device (i2c->adapter, &fe->dvbdev, &dvbdev_template,
+       dvb_register_device (fe->dvb, &fepriv->dvbdev, &dvbdev_template,
                             fe, DVB_DEVICE_FRONTEND);
 
-       if ((info->caps & FE_NEEDS_BENDING) || (dvb_override_frequency_bending == 2))
-               do_frequency_bending = 1;
-    
-       up (&frontend_mutex);
-
+       mutex_unlock(&frontend_mutex);
        return 0;
 }
+EXPORT_SYMBOL(dvb_register_frontend);
 
-
-int dvb_unregister_frontend (int (*ioctl) (struct dvb_frontend *frontend,
-                                          unsigned int cmd, void *arg),
-                            struct dvb_i2c_bus *i2c)
+int dvb_unregister_frontend(struct dvb_frontend* fe)
 {
-        struct list_head *entry, *n;
-
+       struct dvb_frontend_private *fepriv = fe->frontend_priv;
        dprintk ("%s\n", __FUNCTION__);
 
-       down (&frontend_mutex);
+       mutex_lock(&frontend_mutex);
+       dvb_unregister_device (fepriv->dvbdev);
+       dvb_frontend_stop (fe);
 
-       list_for_each_safe (entry, n, &frontend_list) {
-               struct dvb_frontend_data *fe;
+       /* fe is invalid now */
+       kfree(fepriv);
+       mutex_unlock(&frontend_mutex);
+       return 0;
+}
+EXPORT_SYMBOL(dvb_unregister_frontend);
 
-               fe = list_entry (entry, struct dvb_frontend_data, list_head);
+#ifdef CONFIG_DVB_CORE_ATTACH
+void dvb_frontend_detach(struct dvb_frontend* fe)
+{
+       void *ptr;
 
-               if (fe->frontend.ioctl == ioctl && fe->frontend.i2c == i2c) {
-                       dvb_unregister_device (fe->dvbdev);
-                       list_del (entry);
-                       up (&frontend_mutex);
-                       dvb_frontend_stop (fe);
-                       kfree (fe);
-                       return 0;
-               }
+       if (fe->ops.release_sec) {
+               fe->ops.release_sec(fe);
+               symbol_put_addr(fe->ops.release_sec);
+       }
+       if (fe->ops.tuner_ops.release) {
+               fe->ops.tuner_ops.release(fe);
+               symbol_put_addr(fe->ops.tuner_ops.release);
+       }
+       ptr = (void*)fe->ops.release;
+       if (ptr) {
+               fe->ops.release(fe);
+               symbol_put_addr(ptr);
        }
-
-       up (&frontend_mutex);
-       return -EINVAL;
 }
-
-MODULE_PARM(dvb_frontend_debug,"i");
-MODULE_PARM(dvb_shutdown_timeout,"i");
-MODULE_PARM(dvb_override_frequency_bending,"i");
-MODULE_PARM(dvb_force_auto_inversion,"i");
-MODULE_PARM(dvb_override_tune_delay,"i");
-
-MODULE_PARM_DESC(dvb_frontend_debug, "enable verbose debug messages");
-MODULE_PARM_DESC(dvb_shutdown_timeout, "wait <shutdown_timeout> seconds after close() before suspending hardware");
-MODULE_PARM_DESC(dvb_override_frequency_bending, "0: normal (default), 1: never use frequency bending, 2: always use frequency bending");
-MODULE_PARM_DESC(dvb_force_auto_inversion, "0: normal (default), 1: INVERSION_AUTO forced always");
-MODULE_PARM_DESC(dvb_override_tune_delay, "0: normal (default), >0 => delay in milliseconds to wait for lock after a tune attempt");
+#else
+void dvb_frontend_detach(struct dvb_frontend* fe)
+{
+       if (fe->ops.release_sec)
+               fe->ops.release_sec(fe);
+       if (fe->ops.tuner_ops.release)
+               fe->ops.tuner_ops.release(fe);
+       if (fe->ops.release)
+               fe->ops.release(fe);
+}
+#endif
+EXPORT_SYMBOL(dvb_frontend_detach);