bridge: Convert svecs to ssets.
[sliver-openvswitch.git] / vswitchd / bridge.c
index 949a63e..001f9f6 100644 (file)
@@ -61,6 +61,7 @@
 #include "shash.h"
 #include "socket-util.h"
 #include "stream-ssl.h"
+#include "sset.h"
 #include "svec.h"
 #include "system-stats.h"
 #include "timeval.h"
@@ -98,8 +99,8 @@ static void dst_set_free(struct dst_set *);
 
 struct iface {
     /* These members are always valid. */
+    struct list port_elem;      /* Element in struct port's "ifaces" list. */
     struct port *port;          /* Containing port. */
-    size_t port_ifidx;          /* Index within containing port. */
     char *name;                 /* Host network device name. */
     tag_type tag;               /* Tag associated with this interface. */
     long long delay_expires;    /* Time after which 'enabled' may change. */
@@ -120,9 +121,9 @@ struct iface {
 
 #define BOND_MASK 0xff
 struct bond_entry {
-    int iface_idx;              /* Index of assigned iface, or -1 if none. */
+    struct iface *iface;        /* Assigned iface, or NULL if none. */
     uint64_t tx_bytes;          /* Count of bytes recently transmitted. */
-    tag_type iface_tag;         /* Tag associated with iface_idx. */
+    tag_type tag;               /* Tag for bond_entry<->iface association. */
 };
 
 enum bond_mode {
@@ -142,8 +143,8 @@ struct mirror {
     struct uuid uuid;           /* UUID of this "mirror" record in database. */
 
     /* Selection criteria. */
-    struct shash src_ports;     /* Name is port name; data is always NULL. */
-    struct shash dst_ports;     /* Name is port name; data is always NULL. */
+    struct sset src_ports;      /* Source port names. */
+    struct sset dst_ports;      /* Destination port names. */
     int *vlans;
     size_t n_vlans;
 
@@ -155,12 +156,13 @@ struct mirror {
 #define FLOOD_PORT ((struct port *) 1) /* The 'flood' output port. */
 struct port {
     struct bridge *bridge;
-    size_t port_idx;
+    struct hmap_node hmap_node; /* Element in struct bridge's "ports" hmap. */
+    char *name;
+
     int vlan;                   /* -1=trunk port, else a 12-bit VLAN ID. */
     unsigned long *trunks;      /* Bitmap of trunked VLANs, if 'vlan' == -1.
                                  * NULL if all VLANs are trunked. */
     const struct ovsrec_port *cfg;
-    char *name;
 
     /* Monitoring. */
     struct netdev_monitor *monitor;   /* Tracks carrier. NULL if miimon. */
@@ -169,13 +171,12 @@ struct port {
 
     /* An ordinary bridge port has 1 interface.
      * A bridge port for bonding has at least 2 interfaces. */
-    struct iface **ifaces;
-    size_t n_ifaces, allocated_ifaces;
+    struct list ifaces;         /* List of "struct iface"s. */
+    size_t n_ifaces;            /* list_size(ifaces). */
 
     /* Bonding info. */
     enum bond_mode bond_mode;   /* Type of the bond. BM_SLB is the default. */
-    int active_iface;           /* Ifidx on which bcasts accepted, or -1. */
-    tag_type active_iface_tag;  /* Tag for bcast flows. */
+    struct iface *active_iface; /* iface on which bcasts accepted, or NULL. */
     tag_type no_ifaces_tag;     /* Tag for flows when all ifaces disabled. */
     int updelay, downdelay;     /* Delay before iface goes up/down, in ms. */
     bool bond_fake_iface;       /* Fake a bond interface for legacy compat? */
@@ -214,10 +215,8 @@ struct bridge {
     struct hmap ifaces;         /* Contains "struct iface"s. */
 
     /* Bridge ports. */
-    struct port **ports;
-    size_t n_ports, allocated_ports;
+    struct hmap ports;          /* "struct port"s indexed by name. */
     struct shash iface_by_name; /* "struct iface"s indexed by name. */
-    struct shash port_by_name;  /* "struct port"s indexed by name. */
 
     /* Bonding. */
     bool has_bonded_ports;
@@ -240,6 +239,11 @@ static struct ovsdb_idl *idl;
 #define STATS_INTERVAL (5 * 1000) /* In milliseconds. */
 static long long int stats_timer = LLONG_MIN;
 
+/* Stores the time after which CFM statistics may be written to the database.
+ * Only updated when changes to the database require rate limiting. */
+#define CFM_LIMIT_INTERVAL (1 * 1000) /* In milliseconds. */
+static long long int cfm_limiter = LLONG_MIN;
+
 static struct bridge *bridge_create(const struct ovsrec_bridge *br_cfg);
 static void bridge_destroy(struct bridge *);
 static struct bridge *bridge_lookup(const char *name);
@@ -261,10 +265,10 @@ static void bridge_pick_local_hw_addr(struct bridge *,
 static uint64_t bridge_pick_datapath_id(struct bridge *,
                                         const uint8_t bridge_ea[ETH_ADDR_LEN],
                                         struct iface *hw_addr_iface);
-static struct iface *bridge_get_local_iface(struct bridge *);
 static uint64_t dpid_from_hash(const void *, size_t nbytes);
 
 static unixctl_cb_func bridge_unixctl_fdb_show;
+static unixctl_cb_func cfm_unixctl_show;
 static unixctl_cb_func qos_unixctl_show;
 
 static void bond_init(void);
@@ -282,6 +286,7 @@ static void port_del_ifaces(struct port *, const struct ovsrec_port *);
 static void port_destroy(struct port *);
 static struct port *port_lookup(const struct bridge *, const char *name);
 static struct iface *port_lookup_iface(const struct port *, const char *name);
+static struct iface *port_get_an_iface(const struct port *);
 static struct port *port_from_dp_ifidx(const struct bridge *,
                                        uint16_t dp_ifidx);
 static void port_update_bonding(struct port *);
@@ -304,7 +309,7 @@ static void iface_set_mac(struct iface *);
 static void iface_set_ofport(const struct ovsrec_interface *, int64_t ofport);
 static void iface_update_qos(struct iface *, const struct ovsrec_qos *);
 static void iface_update_cfm(struct iface *);
-static void iface_refresh_cfm_stats(struct iface *iface);
+static bool iface_refresh_cfm_stats(struct iface *iface);
 static void iface_update_carrier(struct iface *);
 static bool iface_get_carrier(const struct iface *);
 
@@ -342,6 +347,7 @@ bridge_init(const char *remote)
 
     /* Register unixctl commands. */
     unixctl_command_register("fdb/show", bridge_unixctl_fdb_show, NULL);
+    unixctl_command_register("cfm/show", cfm_unixctl_show, NULL);
     unixctl_command_register("qos/show", qos_unixctl_show, NULL);
     unixctl_command_register("bridge/dump-flows", bridge_unixctl_dump_flows,
                              NULL);
@@ -368,8 +374,9 @@ static void
 bridge_configure_once(const struct ovsrec_open_vswitch *cfg)
 {
     static bool already_configured_once;
-    struct svec bridge_names;
-    struct svec dpif_names, dpif_types;
+    struct sset bridge_names;
+    struct sset dpif_names, dpif_types;
+    const char *type;
     size_t i;
 
     /* Only do this once per ovs-vswitchd run. */
@@ -381,30 +388,28 @@ bridge_configure_once(const struct ovsrec_open_vswitch *cfg)
     stats_timer = time_msec() + STATS_INTERVAL;
 
     /* Get all the configured bridges' names from 'cfg' into 'bridge_names'. */
-    svec_init(&bridge_names);
+    sset_init(&bridge_names);
     for (i = 0; i < cfg->n_bridges; i++) {
-        svec_add(&bridge_names, cfg->bridges[i]->name);
+        sset_add(&bridge_names, cfg->bridges[i]->name);
     }
-    svec_sort(&bridge_names);
 
     /* Iterate over all system dpifs and delete any of them that do not appear
      * in 'cfg'. */
-    svec_init(&dpif_names);
-    svec_init(&dpif_types);
+    sset_init(&dpif_names);
+    sset_init(&dpif_types);
     dp_enumerate_types(&dpif_types);
-    for (i = 0; i < dpif_types.n; i++) {
-        size_t j;
+    SSET_FOR_EACH (type, &dpif_types) {
+        const char *name;
 
-        dp_enumerate_names(dpif_types.names[i], &dpif_names);
+        dp_enumerate_names(type, &dpif_names);
 
         /* Delete each dpif whose name is not in 'bridge_names'. */
-        for (j = 0; j < dpif_names.n; j++) {
-            if (!svec_contains(&bridge_names, dpif_names.names[j])) {
+        SSET_FOR_EACH (name, &dpif_names) {
+            if (!sset_contains(&bridge_names, name)) {
                 struct dpif *dpif;
                 int retval;
 
-                retval = dpif_open(dpif_names.names[j], dpif_types.names[i],
-                                   &dpif);
+                retval = dpif_open(name, type, &dpif);
                 if (!retval) {
                     dpif_delete(dpif);
                     dpif_close(dpif);
@@ -412,9 +417,9 @@ bridge_configure_once(const struct ovsrec_open_vswitch *cfg)
             }
         }
     }
-    svec_destroy(&bridge_names);
-    svec_destroy(&dpif_names);
-    svec_destroy(&dpif_types);
+    sset_destroy(&bridge_names);
+    sset_destroy(&dpif_names);
+    sset_destroy(&dpif_types);
 }
 
 /* Callback for iterate_and_prune_ifaces(). */
@@ -465,23 +470,19 @@ iterate_and_prune_ifaces(struct bridge *br,
                                     void *aux),
                          void *aux)
 {
-    size_t i, j;
+    struct port *port, *next_port;
 
-    for (i = 0; i < br->n_ports; ) {
-        struct port *port = br->ports[i];
-        for (j = 0; j < port->n_ifaces; ) {
-            struct iface *iface = port->ifaces[j];
-            if (cb(br, iface, aux)) {
-                j++;
-            } else {
+    HMAP_FOR_EACH_SAFE (port, next_port, hmap_node, &br->ports) {
+        struct iface *iface, *next_iface;
+
+        LIST_FOR_EACH_SAFE (iface, next_iface, port_elem, &port->ifaces) {
+            if (!cb(br, iface, aux)) {
                 iface_set_ofport(iface->cfg, -1);
                 iface_destroy(iface);
             }
         }
 
-        if (port->n_ifaces) {
-            i++;
-        } else  {
+        if (!port->n_ifaces) {
             VLOG_WARN("%s port has no interfaces, dropping", port->name);
             port_destroy(port);
         }
@@ -505,30 +506,29 @@ collect_in_band_managers(const struct ovsrec_open_vswitch *ovs_cfg,
 {
     struct sockaddr_in *managers = NULL;
     size_t n_managers = 0;
-    struct shash targets;
+    struct sset targets;
     size_t i;
 
     /* Collect all of the potential targets from the "targets" columns of the
      * rows pointed to by "manager_options", excluding any that are
      * out-of-band. */
-    shash_init(&targets);
+    sset_init(&targets);
     for (i = 0; i < ovs_cfg->n_manager_options; i++) {
         struct ovsrec_manager *m = ovs_cfg->manager_options[i];
 
         if (m->connection_mode && !strcmp(m->connection_mode, "out-of-band")) {
-            shash_find_and_delete(&targets, m->target);
+            sset_find_and_delete(&targets, m->target);
         } else {
-            shash_add_once(&targets, m->target, NULL);
+            sset_add(&targets, m->target);
         }
     }
 
     /* Now extract the targets' IP addresses. */
-    if (!shash_is_empty(&targets)) {
-        struct shash_node *node;
+    if (!sset_is_empty(&targets)) {
+        const char *target;
 
-        managers = xmalloc(shash_count(&targets) * sizeof *managers);
-        SHASH_FOR_EACH (node, &targets) {
-            const char *target = node->name;
+        managers = xmalloc(sset_count(&targets) * sizeof *managers);
+        SSET_FOR_EACH (target, &targets) {
             struct sockaddr_in *sin = &managers[n_managers];
 
             if ((!strncmp(target, "tcp:", 4)
@@ -539,7 +539,7 @@ collect_in_band_managers(const struct ovsrec_open_vswitch *ovs_cfg,
             }
         }
     }
-    shash_destroy(&targets);
+    sset_destroy(&targets);
 
     *managersp = managers;
     *n_managersp = n_managers;
@@ -761,7 +761,7 @@ bridge_reconfigure(const struct ovsrec_open_vswitch *ovs_cfg)
 
         /* Pick local port hardware address, datapath ID. */
         bridge_pick_local_hw_addr(br, ea, &hw_addr_iface);
-        local_iface = bridge_get_local_iface(br);
+        local_iface = iface_from_dp_ifidx(br, ODPP_LOCAL);
         if (local_iface) {
             int error = netdev_set_etheraddr(local_iface->netdev, ea);
             if (error) {
@@ -812,19 +812,21 @@ bridge_reconfigure(const struct ovsrec_open_vswitch *ovs_cfg)
                               "with another vswitch, choose an engine id less "
                               "than 128", br->name);
                 }
-                if (br->n_ports > 508) {
+                if (hmap_count(&br->ports) > 508) {
                     VLOG_WARN("bridge %s: netflow port mangling will conflict "
                               "with another port when more than 508 ports are "
                               "used", br->name);
                 }
             }
 
-            opts.collectors.n = nf_cfg->n_targets;
-            opts.collectors.names = nf_cfg->targets;
+            sset_init(&opts.collectors);
+            sset_add_array(&opts.collectors,
+                           nf_cfg->targets, nf_cfg->n_targets);
             if (ofproto_set_netflow(br->ofproto, &opts)) {
                 VLOG_ERR("bridge %s: problem setting netflow collectors",
                          br->name);
             }
+            sset_destroy(&opts.collectors);
         } else {
             ofproto_set_netflow(br->ofproto, NULL);
         }
@@ -838,8 +840,9 @@ bridge_reconfigure(const struct ovsrec_open_vswitch *ovs_cfg)
 
             memset(&oso, 0, sizeof oso);
 
-            oso.targets.n = sflow_cfg->n_targets;
-            oso.targets.names = sflow_cfg->targets;
+            sset_init(&oso.targets);
+            sset_add_array(&oso.targets,
+                           sflow_cfg->targets, sflow_cfg->n_targets);
 
             oso.sampling_rate = SFL_DEFAULT_SAMPLING_RATE;
             if (sflow_cfg->sampling) {
@@ -869,7 +872,7 @@ bridge_reconfigure(const struct ovsrec_open_vswitch *ovs_cfg)
             }
             ofproto_set_sflow(br->ofproto, &oso);
 
-            /* Do not destroy oso.targets because it is owned by sflow_cfg. */
+            sset_destroy(&oso.targets);
         } else {
             ofproto_set_sflow(br->ofproto, NULL);
         }
@@ -886,13 +889,14 @@ bridge_reconfigure(const struct ovsrec_open_vswitch *ovs_cfg)
         bridge_reconfigure_remotes(br, managers, n_managers);
     }
     LIST_FOR_EACH (br, node, &all_bridges) {
-        for (i = 0; i < br->n_ports; i++) {
-            struct port *port = br->ports[i];
-            int j;
+        struct port *port;
+
+        HMAP_FOR_EACH (port, hmap_node, &br->ports) {
+            struct iface *iface;
 
             if (port->monitor) {
-                for (j = 0; j < port->n_ifaces; j++) {
-                    netdev_monitor_add(port->monitor, port->ifaces[j]->netdev);
+                LIST_FOR_EACH (iface, port_elem, &port->ifaces) {
+                    netdev_monitor_add(port->monitor, iface->netdev);
                 }
             } else {
                 port->miimon_next_update = 0;
@@ -901,8 +905,8 @@ bridge_reconfigure(const struct ovsrec_open_vswitch *ovs_cfg)
             port_update_lacp(port);
             port_update_bonding(port);
 
-            for (j = 0; j < port->n_ifaces; j++) {
-                iface_update_qos(port->ifaces[j], port->cfg->qos);
+            LIST_FOR_EACH (iface, port_elem, &port->ifaces) {
+                iface_update_qos(iface, port->cfg->qos);
             }
         }
     }
@@ -951,7 +955,7 @@ bridge_pick_local_hw_addr(struct bridge *br, uint8_t ea[ETH_ADDR_LEN],
                           struct iface **hw_addr_iface)
 {
     const char *hwaddr;
-    size_t i, j;
+    struct port *port;
     int error;
 
     *hw_addr_iface = NULL;
@@ -972,9 +976,9 @@ bridge_pick_local_hw_addr(struct bridge *br, uint8_t ea[ETH_ADDR_LEN],
     /* Otherwise choose the minimum non-local MAC address among all of the
      * interfaces. */
     memset(ea, 0xff, ETH_ADDR_LEN);
-    for (i = 0; i < br->n_ports; i++) {
-        struct port *port = br->ports[i];
+    HMAP_FOR_EACH (port, hmap_node, &br->ports) {
         uint8_t iface_ea[ETH_ADDR_LEN];
+        struct iface *candidate;
         struct iface *iface;
 
         /* Mirror output ports don't participate. */
@@ -983,12 +987,11 @@ bridge_pick_local_hw_addr(struct bridge *br, uint8_t ea[ETH_ADDR_LEN],
         }
 
         /* Choose the MAC address to represent the port. */
+        iface = NULL;
         if (port->cfg->mac && eth_addr_from_string(port->cfg->mac, iface_ea)) {
             /* Find the interface with this Ethernet address (if any) so that
              * we can provide the correct devname to the caller. */
-            iface = NULL;
-            for (j = 0; j < port->n_ifaces; j++) {
-                struct iface *candidate = port->ifaces[j];
+            LIST_FOR_EACH (candidate, port_elem, &port->ifaces) {
                 uint8_t candidate_ea[ETH_ADDR_LEN];
                 if (!netdev_get_etheraddr(candidate->netdev, candidate_ea)
                     && eth_addr_equals(iface_ea, candidate_ea)) {
@@ -1002,10 +1005,8 @@ bridge_pick_local_hw_addr(struct bridge *br, uint8_t ea[ETH_ADDR_LEN],
              * scripts always add slaves to a bond in alphabetical order, so
              * for compatibility we choose the interface with the name that is
              * first in alphabetical order. */
-            iface = port->ifaces[0];
-            for (j = 1; j < port->n_ifaces; j++) {
-                struct iface *candidate = port->ifaces[j];
-                if (strcmp(candidate->name, iface->name) < 0) {
+            LIST_FOR_EACH (candidate, port_elem, &port->ifaces) {
+                if (!iface || strcmp(candidate->name, iface->name) < 0) {
                     iface = candidate;
                 }
             }
@@ -1204,18 +1205,21 @@ iface_refresh_status(struct iface *iface)
     }
 }
 
-static void
+/* Writes 'iface''s CFM statistics to the database.  Returns true if anything
+ * changed, false otherwise. */
+static bool
 iface_refresh_cfm_stats(struct iface *iface)
 {
     const struct ovsrec_monitor *mon;
     const struct cfm *cfm;
+    bool changed = false;
     size_t i;
 
     mon = iface->cfg->monitor;
     cfm = ofproto_iface_get_cfm(iface->port->bridge->ofproto, iface->dp_ifidx);
 
     if (!cfm || !mon) {
-        return;
+        return false;
     }
 
     for (i = 0; i < mon->n_remote_mps; i++) {
@@ -1225,59 +1229,18 @@ iface_refresh_cfm_stats(struct iface *iface)
         mp = mon->remote_mps[i];
         rmp = cfm_get_remote_mp(cfm, mp->mpid);
 
-        ovsrec_maintenance_point_set_fault(mp, &rmp->fault, 1);
-    }
-
-    if (hmap_is_empty(&cfm->x_remote_mps)) {
-        ovsrec_monitor_set_unexpected_remote_mpids(mon, NULL, 0);
-    } else {
-        size_t length;
-        struct remote_mp *rmp;
-        int64_t *x_remote_mps;
-
-        length = hmap_count(&cfm->x_remote_mps);
-        x_remote_mps = xzalloc(length * sizeof *x_remote_mps);
-
-        i = 0;
-        HMAP_FOR_EACH (rmp, node, &cfm->x_remote_mps) {
-            x_remote_mps[i++] = rmp->mpid;
+        if (mp->n_fault != 1 || mp->fault[0] != rmp->fault) {
+            ovsrec_maintenance_point_set_fault(mp, &rmp->fault, 1);
+            changed = true;
         }
-
-        ovsrec_monitor_set_unexpected_remote_mpids(mon, x_remote_mps, length);
-        free(x_remote_mps);
     }
 
-    if (hmap_is_empty(&cfm->x_remote_maids)) {
-        ovsrec_monitor_set_unexpected_remote_maids(mon, NULL, 0);
-    } else {
-        size_t length;
-        char **x_remote_maids;
-        struct remote_maid *rmaid;
-
-        length = hmap_count(&cfm->x_remote_maids);
-        x_remote_maids = xzalloc(length * sizeof *x_remote_maids);
-
-        i = 0;
-        HMAP_FOR_EACH (rmaid, node, &cfm->x_remote_maids) {
-            size_t j;
-
-            x_remote_maids[i] = xzalloc(CCM_MAID_LEN * 2 + 1);
-
-            for (j = 0; j < CCM_MAID_LEN; j++) {
-                 snprintf(&x_remote_maids[i][j * 2], 3, "%02hhx",
-                          rmaid->maid[j]);
-            }
-            i++;
-        }
-        ovsrec_monitor_set_unexpected_remote_maids(mon, x_remote_maids, length);
-
-        for (i = 0; i < length; i++) {
-            free(x_remote_maids[i]);
-        }
-        free(x_remote_maids);
+    if (mon->n_fault != 1 || mon->fault[0] != cfm->fault) {
+        ovsrec_monitor_set_fault(mon, &cfm->fault, 1);
+        changed = true;
     }
 
-    ovsrec_monitor_set_fault(mon, &cfm->fault, 1);
+    return changed;
 }
 
 static void
@@ -1448,16 +1411,13 @@ bridge_run(void)
 
             txn = ovsdb_idl_txn_create(idl);
             LIST_FOR_EACH (br, node, &all_bridges) {
-                size_t i;
+                struct port *port;
 
-                for (i = 0; i < br->n_ports; i++) {
-                    struct port *port = br->ports[i];
-                    size_t j;
+                HMAP_FOR_EACH (port, hmap_node, &br->ports) {
+                    struct iface *iface;
 
-                    for (j = 0; j < port->n_ifaces; j++) {
-                        struct iface *iface = port->ifaces[j];
+                    LIST_FOR_EACH (iface, port_elem, &port->ifaces) {
                         iface_refresh_stats(iface);
-                        iface_refresh_cfm_stats(iface);
                         iface_refresh_status(iface);
                     }
                 }
@@ -1470,6 +1430,31 @@ bridge_run(void)
 
         stats_timer = time_msec() + STATS_INTERVAL;
     }
+
+    if (time_msec() >= cfm_limiter) {
+        struct ovsdb_idl_txn *txn;
+        bool changed = false;
+
+        txn = ovsdb_idl_txn_create(idl);
+        LIST_FOR_EACH (br, node, &all_bridges) {
+            struct port *port;
+
+            HMAP_FOR_EACH (port, hmap_node, &br->ports) {
+                struct iface *iface;
+
+                LIST_FOR_EACH (iface, port_elem, &port->ifaces) {
+                    changed = iface_refresh_cfm_stats(iface) || changed;
+                }
+            }
+        }
+
+        if (changed) {
+            cfm_limiter = time_msec() + CFM_LIMIT_INTERVAL;
+        }
+
+        ovsdb_idl_txn_commit(txn);
+        ovsdb_idl_txn_destroy(txn);
+    }
 }
 
 void
@@ -1478,21 +1463,20 @@ bridge_wait(void)
     struct bridge *br;
 
     LIST_FOR_EACH (br, node, &all_bridges) {
-        size_t i;
+        struct port *port;
 
         ofproto_wait(br->ofproto);
-        if (ofproto_has_primary_controller(br->ofproto)) {
-            continue;
-        }
-
         mac_learning_wait(br->ml);
-
-        for (i = 0; i < br->n_ports; i++) {
-            port_wait(br->ports[i]);
+        HMAP_FOR_EACH (port, hmap_node, &br->ports) {
+            port_wait(port);
         }
     }
     ovsdb_idl_wait(idl);
     poll_timer_wait_until(stats_timer);
+
+    if (cfm_limiter > time_msec()) {
+        poll_timer_wait_until(cfm_limiter);
+    }
 }
 
 /* Forces 'br' to revalidate all of its flows.  This is appropriate when 'br''s
@@ -1502,27 +1486,6 @@ bridge_flush(struct bridge *br)
 {
     COVERAGE_INC(bridge_flush);
     br->flush = true;
-    mac_learning_flush(br->ml);
-}
-
-/* Returns the 'br' interface for the ODPP_LOCAL port, or null if 'br' has no
- * such interface. */
-static struct iface *
-bridge_get_local_iface(struct bridge *br)
-{
-    size_t i, j;
-
-    for (i = 0; i < br->n_ports; i++) {
-        struct port *port = br->ports[i];
-        for (j = 0; j < port->n_ifaces; j++) {
-            struct iface *iface = port->ifaces[j];
-            if (iface->dp_ifidx == ODPP_LOCAL) {
-                return iface;
-            }
-        }
-    }
-
-    return NULL;
 }
 \f
 /* Bridge unixctl user interface functions. */
@@ -1542,17 +1505,42 @@ bridge_unixctl_fdb_show(struct unixctl_conn *conn,
 
     ds_put_cstr(&ds, " port  VLAN  MAC                Age\n");
     LIST_FOR_EACH (e, lru_node, &br->ml->lrus) {
-        if (e->port < 0 || e->port >= br->n_ports) {
-            continue;
-        }
+        struct port *port = e->port.p;
         ds_put_format(&ds, "%5d  %4d  "ETH_ADDR_FMT"  %3d\n",
-                      br->ports[e->port]->ifaces[0]->dp_ifidx,
+                      port_get_an_iface(port)->dp_ifidx,
                       e->vlan, ETH_ADDR_ARGS(e->mac), mac_entry_age(e));
     }
     unixctl_command_reply(conn, 200, ds_cstr(&ds));
     ds_destroy(&ds);
 }
 \f
+/* CFM unixctl user interface functions. */
+static void
+cfm_unixctl_show(struct unixctl_conn *conn,
+                 const char *args, void *aux OVS_UNUSED)
+{
+    struct ds ds = DS_EMPTY_INITIALIZER;
+    struct iface *iface;
+    const struct cfm *cfm;
+
+    iface = iface_find(args);
+    if (!iface) {
+        unixctl_command_reply(conn, 501, "no such interface");
+        return;
+    }
+
+    cfm = ofproto_iface_get_cfm(iface->port->bridge->ofproto, iface->dp_ifidx);
+
+    if (!cfm) {
+        unixctl_command_reply(conn, 501, "CFM not enabled");
+        return;
+    }
+
+    cfm_dump_ds(cfm, &ds);
+    unixctl_command_reply(conn, 200, ds_cstr(&ds));
+    ds_destroy(&ds);
+}
+\f
 /* QoS unixctl user interface functions. */
 
 struct qos_unixctl_show_cbdata {
@@ -1680,9 +1668,8 @@ bridge_create(const struct ovsrec_bridge *br_cfg)
     br->ml = mac_learning_create();
     eth_addr_nicira_random(br->default_ea);
 
+    hmap_init(&br->ports);
     hmap_init(&br->ifaces);
-
-    shash_init(&br->port_by_name);
     shash_init(&br->iface_by_name);
 
     br->flush = false;
@@ -1698,24 +1685,24 @@ static void
 bridge_destroy(struct bridge *br)
 {
     if (br) {
+        struct port *port, *next;
         int error;
 
-        while (br->n_ports > 0) {
-            port_destroy(br->ports[br->n_ports - 1]);
+        HMAP_FOR_EACH_SAFE (port, next, hmap_node, &br->ports) {
+            port_destroy(port);
         }
         list_remove(&br->node);
+        ofproto_destroy(br->ofproto);
         error = dpif_delete(br->dpif);
         if (error && error != ENOENT) {
             VLOG_ERR("failed to delete %s: %s",
                      dpif_name(br->dpif), strerror(error));
         }
         dpif_close(br->dpif);
-        ofproto_destroy(br->ofproto);
         mac_learning_destroy(br->ml);
         hmap_destroy(&br->ifaces);
-        shash_destroy(&br->port_by_name);
+        hmap_destroy(&br->ports);
         shash_destroy(&br->iface_by_name);
-        free(br->ports);
         free(br->name);
         free(br);
     }
@@ -1782,7 +1769,7 @@ bridge_unixctl_reconnect(struct unixctl_conn *conn,
 static int
 bridge_run_one(struct bridge *br)
 {
-    size_t i;
+    struct port *port;
     int error;
 
     error = ofproto_run1(br->ofproto);
@@ -1792,8 +1779,8 @@ bridge_run_one(struct bridge *br)
 
     mac_learning_run(br->ml, ofproto_get_revalidate_set(br->ofproto));
 
-    for (i = 0; i < br->n_ports; i++) {
-        port_run(br->ports[i]);
+    HMAP_FOR_EACH (port, hmap_node, &br->ports) {
+        port_run(port);
     }
 
     error = ofproto_run2(br->ofproto, br->flush);
@@ -1826,18 +1813,12 @@ bridge_get_controllers(const struct bridge *br,
 static void
 bridge_reconfigure_one(struct bridge *br)
 {
-    struct shash old_ports, new_ports;
-    struct svec snoops, old_snoops;
-    struct shash_node *node;
     enum ofproto_fail_mode fail_mode;
+    struct port *port, *next;
+    struct shash_node *node;
+    struct shash new_ports;
     size_t i;
 
-    /* Collect old ports. */
-    shash_init(&old_ports);
-    for (i = 0; i < br->n_ports; i++) {
-        shash_add(&old_ports, br->ports[i]->name, br->ports[i]);
-    }
-
     /* Collect new ports. */
     shash_init(&new_ports);
     for (i = 0; i < br->cfg->n_ports; i++) {
@@ -1867,11 +1848,10 @@ bridge_reconfigure_one(struct bridge *br)
 
     /* Get rid of deleted ports.
      * Get rid of deleted interfaces on ports that still exist. */
-    SHASH_FOR_EACH (node, &old_ports) {
-        struct port *port = node->data;
+    HMAP_FOR_EACH_SAFE (port, next, hmap_node, &br->ports) {
         const struct ovsrec_port *port_cfg;
 
-        port_cfg = shash_find_data(&new_ports, node->name);
+        port_cfg = shash_find_data(&new_ports, port->name);
         if (!port_cfg) {
             port_destroy(port);
         } else {
@@ -1883,7 +1863,7 @@ bridge_reconfigure_one(struct bridge *br)
      * Add new interfaces to existing ports.
      * Reconfigure existing ports. */
     SHASH_FOR_EACH (node, &new_ports) {
-        struct port *port = shash_find_data(&old_ports, node->name);
+        struct port *port = port_lookup(br, node->name);
         if (!port) {
             port = port_create(br, node->name);
         }
@@ -1895,7 +1875,6 @@ bridge_reconfigure_one(struct bridge *br)
             port_destroy(port);
         }
     }
-    shash_destroy(&old_ports);
     shash_destroy(&new_ports);
 
     /* Set the fail-mode */
@@ -1914,16 +1893,15 @@ bridge_reconfigure_one(struct bridge *br)
      * controller to another?) */
 
     /* Configure OpenFlow controller connection snooping. */
-    svec_init(&snoops);
-    svec_add_nocopy(&snoops, xasprintf("punix:%s/%s.snoop",
-                                       ovs_rundir(), br->name));
-    svec_init(&old_snoops);
-    ofproto_get_snoops(br->ofproto, &old_snoops);
-    if (!svec_equal(&snoops, &old_snoops)) {
+    if (!ofproto_has_snoops(br->ofproto)) {
+        struct sset snoops;
+
+        sset_init(&snoops);
+        sset_add_and_free(&snoops, xasprintf("punix:%s/%s.snoop",
+                                             ovs_rundir(), br->name));
         ofproto_set_snoops(br->ofproto, &snoops);
+        sset_destroy(&snoops);
     }
-    svec_destroy(&snoops);
-    svec_destroy(&old_snoops);
 
     mirror_reconfigure(br);
 }
@@ -1972,7 +1950,7 @@ bridge_configure_local_iface_netdev(struct bridge *br,
     struct in_addr ip;
 
     /* If there's no local interface or no IP address, give up. */
-    local_iface = bridge_get_local_iface(br);
+    local_iface = iface_from_dp_ifidx(br, ODPP_LOCAL);
     if (!local_iface || !c->local_ip || !inet_aton(c->local_ip, &ip)) {
         return;
     }
@@ -2097,13 +2075,13 @@ bridge_reconfigure_remotes(struct bridge *br,
 static void
 bridge_get_all_ifaces(const struct bridge *br, struct shash *ifaces)
 {
-    size_t i, j;
+    struct port *port;
 
     shash_init(ifaces);
-    for (i = 0; i < br->n_ports; i++) {
-        struct port *port = br->ports[i];
-        for (j = 0; j < port->n_ifaces; j++) {
-            struct iface *iface = port->ifaces[j];
+    HMAP_FOR_EACH (port, hmap_node, &br->ports) {
+        struct iface *iface;
+
+        LIST_FOR_EACH (iface, port_elem, &port->ifaces) {
             shash_add_once(ifaces, iface->name, iface);
         }
         if (port->n_ifaces > 1 && port->cfg->bond_fake_iface) {
@@ -2125,13 +2103,13 @@ bridge_fetch_dp_ifaces(struct bridge *br)
 {
     struct dpif_port_dump dump;
     struct dpif_port dpif_port;
-    size_t i, j;
+    struct port *port;
 
     /* Reset all interface numbers. */
-    for (i = 0; i < br->n_ports; i++) {
-        struct port *port = br->ports[i];
-        for (j = 0; j < port->n_ifaces; j++) {
-            struct iface *iface = port->ifaces[j];
+    HMAP_FOR_EACH (port, hmap_node, &br->ports) {
+        struct iface *iface;
+
+        LIST_FOR_EACH (iface, port_elem, &port->ifaces) {
             iface->dp_ifidx = -1;
         }
     }
@@ -2200,32 +2178,30 @@ lookup_bond_entry(const struct port *port, const struct flow *flow,
     }
 }
 
-static int
+static struct iface *
 bond_choose_iface(const struct port *port)
 {
     static struct vlog_rate_limit rl = VLOG_RATE_LIMIT_INIT(5, 20);
-    size_t i, best_down_slave = -1;
-    long long next_delay_expiration = LLONG_MAX;
-
-    for (i = 0; i < port->n_ifaces; i++) {
-        struct iface *iface = port->ifaces[i];
+    struct iface *best_down_slave;
+    struct iface *iface;
 
+    best_down_slave = NULL;
+    LIST_FOR_EACH (iface, port_elem, &port->ifaces) {
         if (iface->enabled) {
-            return i;
-        } else if (iface->delay_expires < next_delay_expiration
+            return iface;
+        } else if ((!best_down_slave
+                    || iface->delay_expires < best_down_slave->delay_expires)
                    && lacp_slave_may_enable(port->lacp, iface)) {
-            best_down_slave = i;
-            next_delay_expiration = iface->delay_expires;
+            best_down_slave = iface;
         }
     }
 
-    if (best_down_slave != -1) {
-        struct iface *iface = port->ifaces[best_down_slave];
-
+    if (best_down_slave) {
         VLOG_INFO_RL(&rl, "interface %s: skipping remaining %lli ms updelay "
-                     "since no other interface is up", iface->name,
-                     iface->delay_expires - time_msec());
-        bond_enable_slave(iface, true);
+                     "since no other interface is up",
+                     best_down_slave->name,
+                     best_down_slave->delay_expires - time_msec());
+        bond_enable_slave(best_down_slave, true);
     }
 
     return best_down_slave;
@@ -2239,28 +2215,27 @@ choose_output_iface(const struct port *port, const struct flow *flow,
 
     assert(port->n_ifaces);
     if (port->n_ifaces == 1) {
-        iface = port->ifaces[0];
+        iface = port_get_an_iface(port);
     } else if (port->bond_mode == BM_AB) {
-        if (port->active_iface < 0) {
+        iface = port->active_iface;
+        if (!iface) {
             *tags |= port->no_ifaces_tag;
             return false;
         }
-        iface = port->ifaces[port->active_iface];
     } else {
         struct bond_entry *e = lookup_bond_entry(port, flow, vlan);
-        if (e->iface_idx < 0 || e->iface_idx >= port->n_ifaces
-            || !port->ifaces[e->iface_idx]->enabled) {
+        if (!e->iface || !e->iface->enabled) {
             /* XXX select interface properly.  The current interface selection
              * is only good for testing the rebalancing code. */
-            e->iface_idx = bond_choose_iface(port);
-            if (e->iface_idx < 0) {
+            e->iface = bond_choose_iface(port);
+            if (!e->iface) {
                 *tags |= port->no_ifaces_tag;
                 return false;
             }
-            e->iface_tag = tag_create_random();
+            e->tag = tag_create_random();
         }
-        *tags |= e->iface_tag;
-        iface = port->ifaces[e->iface_idx];
+        *tags |= e->tag;
+        iface = e->iface;
     }
     *dp_ifidx = iface->dp_ifidx;
     *tags |= iface->tag;        /* Currently only used for bonding. */
@@ -2293,7 +2268,7 @@ bond_link_status_update(struct iface *iface)
         iface->delay_expires = LLONG_MAX;
         VLOG_INFO_RL(&rl, "interface %s: will not be %s",
                      iface->name, up ? "disabled" : "enabled");
-    } else if (up && port->active_iface < 0) {
+    } else if (up && !port->active_iface) {
         bond_enable_slave(iface, true);
         if (updelay) {
             VLOG_INFO_RL(&rl, "interface %s: skipping %d ms updelay since no "
@@ -2319,10 +2294,9 @@ bond_choose_active_iface(struct port *port)
     static struct vlog_rate_limit rl = VLOG_RATE_LIMIT_INIT(5, 20);
 
     port->active_iface = bond_choose_iface(port);
-    port->active_iface_tag = tag_create_random();
-    if (port->active_iface >= 0) {
+    if (port->active_iface) {
         VLOG_INFO_RL(&rl, "port %s: active interface is now %s",
-                     port->name, port->ifaces[port->active_iface]->name);
+                     port->name, port->active_iface->name);
     } else {
         VLOG_WARN_RL(&rl, "port %s: all ports disabled, no active interface",
                      port->name);
@@ -2350,10 +2324,7 @@ bond_enable_slave(struct iface *iface, bool enable)
     if (!iface->enabled) {
         VLOG_WARN("interface %s: disabled", iface->name);
         ofproto_revalidate(br->ofproto, iface->tag);
-        if (iface->port_ifidx == port->active_iface) {
-            ofproto_revalidate(br->ofproto,
-                               port->active_iface_tag);
-
+        if (iface == port->active_iface) {
             /* Disabling a slave can lead to another slave being immediately
              * enabled if there will be no active slaves but one is waiting
              * on an updelay.  In this case we do not need to run most of the
@@ -2366,7 +2337,7 @@ bond_enable_slave(struct iface *iface, bool enable)
         bond_send_learning_packets(port);
     } else {
         VLOG_WARN("interface %s: enabled", iface->name);
-        if (port->active_iface < 0 && !moving_active_iface) {
+        if (!port->active_iface && !moving_active_iface) {
             ofproto_revalidate(br->ofproto, port->no_ifaces_tag);
             bond_choose_active_iface(port);
             bond_send_learning_packets(port);
@@ -2384,14 +2355,14 @@ bond_update_fake_iface_stats(struct port *port)
 {
     struct netdev_stats bond_stats;
     struct netdev *bond_dev;
-    size_t i;
+    struct iface *iface;
 
     memset(&bond_stats, 0, sizeof bond_stats);
 
-    for (i = 0; i < port->n_ifaces; i++) {
+    LIST_FOR_EACH (iface, port_elem, &port->ifaces) {
         struct netdev_stats slave_stats;
 
-        if (!netdev_get_stats(port->ifaces[i]->netdev, &slave_stats)) {
+        if (!netdev_get_stats(iface->netdev, &slave_stats)) {
             /* XXX: We swap the stats here because they are swapped back when
              * reported by the internal device.  The reason for this is
              * internal devices normally represent packets going into the system
@@ -2417,18 +2388,17 @@ bond_update_fake_iface_stats(struct port *port)
 static void
 bond_run(struct port *port)
 {
-    size_t i;
+    struct iface *iface;
 
     if (port->n_ifaces < 2) {
         return;
     }
 
-    for (i = 0; i < port->n_ifaces; i++) {
-        bond_link_status_update(port->ifaces[i]);
+    LIST_FOR_EACH (iface, port_elem, &port->ifaces) {
+        bond_link_status_update(iface);
     }
 
-    for (i = 0; i < port->n_ifaces; i++) {
-        struct iface *iface = port->ifaces[i];
+    LIST_FOR_EACH (iface, port_elem, &port->ifaces) {
         if (time_msec() >= iface->delay_expires) {
             bond_enable_slave(iface, !iface->enabled);
         }
@@ -2444,14 +2414,13 @@ bond_run(struct port *port)
 static void
 bond_wait(struct port *port)
 {
-    size_t i;
+    struct iface *iface;
 
     if (port->n_ifaces < 2) {
         return;
     }
 
-    for (i = 0; i < port->n_ifaces; i++) {
-        struct iface *iface = port->ifaces[i];
+    LIST_FOR_EACH (iface, port_elem, &port->ifaces) {
         if (iface->delay_expires != LLONG_MAX) {
             poll_timer_wait_until(iface->delay_expires);
         }
@@ -2591,17 +2560,36 @@ port_includes_vlan(const struct port *port, uint16_t vlan)
 static bool
 port_is_floodable(const struct port *port)
 {
-    int i;
+    struct iface *iface;
 
-    for (i = 0; i < port->n_ifaces; i++) {
+    LIST_FOR_EACH (iface, port_elem, &port->ifaces) {
         if (!ofproto_port_is_floodable(port->bridge->ofproto,
-                                       port->ifaces[i]->dp_ifidx)) {
+                                       iface->dp_ifidx)) {
             return false;
         }
     }
     return true;
 }
 
+/* Returns the tag for 'port''s active iface, or 'port''s no_ifaces_tag if
+ * there is no active iface. */
+static tag_type
+port_get_active_iface_tag(const struct port *port)
+{
+    return (port->active_iface
+            ? port->active_iface->tag
+            : port->no_ifaces_tag);
+}
+
+/* Returns an arbitrary interface within 'port'.
+ *
+ * 'port' must have at least one interface. */
+static struct iface *
+port_get_an_iface(const struct port *port)
+{
+    return CONTAINER_OF(list_front(&port->ifaces), struct iface, port_elem);
+}
+
 static void
 compose_dsts(const struct bridge *br, const struct flow *flow, uint16_t vlan,
              const struct port *in_port, const struct port *out_port,
@@ -2610,7 +2598,6 @@ compose_dsts(const struct bridge *br, const struct flow *flow, uint16_t vlan,
     mirror_mask_t mirrors = in_port->src_mirrors;
     struct dst dst;
     int flow_vlan;
-    size_t i;
 
     flow_vlan = vlan_tci_to_vid(flow->vlan_tci);
     if (flow_vlan == 0) {
@@ -2618,8 +2605,9 @@ compose_dsts(const struct bridge *br, const struct flow *flow, uint16_t vlan,
     }
 
     if (out_port == FLOOD_PORT) {
-        for (i = 0; i < br->n_ports; i++) {
-            struct port *port = br->ports[i];
+        struct port *port;
+
+        HMAP_FOR_EACH (port, hmap_node, &br->ports) {
             if (port != in_port
                 && port_is_floodable(port)
                 && port_includes_vlan(port, vlan)
@@ -2645,8 +2633,9 @@ compose_dsts(const struct bridge *br, const struct flow *flow, uint16_t vlan,
                     dst_set_add(set, &dst);
                 }
             } else {
-                for (i = 0; i < br->n_ports; i++) {
-                    struct port *port = br->ports[i];
+                struct port *port;
+
+                HMAP_FOR_EACH (port, hmap_node, &br->ports) {
                     if (port_includes_vlan(port, m->out_vlan)
                         && set_dst(&dst, flow, in_port, port, tags))
                     {
@@ -2783,27 +2772,34 @@ static void
 update_learning_table(struct bridge *br, const struct flow *flow, int vlan,
                       struct port *in_port)
 {
-    enum grat_arp_lock_type lock_type;
-    tag_type rev_tag;
+    struct mac_entry *mac;
+
+    if (!mac_learning_may_learn(br->ml, flow->dl_src, vlan)) {
+        return;
+    }
 
-    /* We don't want to learn from gratuitous ARP packets that are reflected
-     * back over bond slaves so we lock the learning table. */
-    lock_type = !is_gratuitous_arp(flow) ? GRAT_ARP_LOCK_NONE :
-                    (in_port->n_ifaces == 1) ? GRAT_ARP_LOCK_SET :
-                                               GRAT_ARP_LOCK_CHECK;
+    mac = mac_learning_insert(br->ml, flow->dl_src, vlan);
+    if (is_gratuitous_arp(flow)) {
+        /* We don't want to learn from gratuitous ARP packets that are
+         * reflected back over bond slaves so we lock the learning table. */
+        if (in_port->n_ifaces == 1) {
+            mac_entry_set_grat_arp_lock(mac);
+        } else if (mac_entry_is_grat_arp_locked(mac)) {
+            return;
+        }
+    }
 
-    rev_tag = mac_learning_learn(br->ml, flow->dl_src, vlan, in_port->port_idx,
-                                 lock_type);
-    if (rev_tag) {
+    if (mac_entry_is_new(mac) || mac->port.p != in_port) {
         /* The log messages here could actually be useful in debugging,
          * so keep the rate limit relatively high. */
-        static struct vlog_rate_limit rl = VLOG_RATE_LIMIT_INIT(30,
-                                                                300);
+        static struct vlog_rate_limit rl = VLOG_RATE_LIMIT_INIT(30, 300);
         VLOG_DBG_RL(&rl, "bridge %s: learned that "ETH_ADDR_FMT" is "
                     "on port %s in VLAN %d",
                     br->name, ETH_ADDR_ARGS(flow->dl_src),
                     in_port->name, vlan);
-        ofproto_revalidate(br->ofproto, rev_tag);
+
+        mac->port.p = in_port;
+        ofproto_revalidate(br->ofproto, mac_learning_changed(br->ml, mac));
     }
 }
 
@@ -2890,12 +2886,11 @@ is_admissible(struct bridge *br, const struct flow *flow, bool have_packet,
     /* Packets received on non-LACP bonds need special attention to avoid
      * duplicates. */
     if (in_port->n_ifaces > 1 && !lacp_negotiated(in_port->lacp)) {
-        int src_idx;
-        bool is_grat_arp_locked;
+        struct mac_entry *mac;
 
         if (eth_addr_is_multicast(flow->dl_dst)) {
-            *tags |= in_port->active_iface_tag;
-            if (in_port->active_iface != in_iface->port_ifidx) {
+            *tags |= port_get_active_iface_tag(in_port);
+            if (in_port->active_iface != in_iface) {
                 /* Drop all multicast packets on inactive slaves. */
                 return false;
             }
@@ -2908,10 +2903,9 @@ is_admissible(struct bridge *br, const struct flow *flow, bool have_packet,
          * to the exception is if we locked the learning table to avoid
          * reflections on bond slaves.  If this is the case, just drop the
          * packet now. */
-        src_idx = mac_learning_lookup(br->ml, flow->dl_src, vlan,
-                                      &is_grat_arp_locked);
-        if (src_idx != -1 && src_idx != in_port->port_idx &&
-            (!is_gratuitous_arp(flow) || is_grat_arp_locked)) {
+        mac = mac_learning_lookup(br->ml, flow->dl_src, vlan, NULL);
+        if (mac && mac->port.p != in_port &&
+            (!is_gratuitous_arp(flow) || mac_entry_is_grat_arp_locked(mac))) {
                 return false;
         }
     }
@@ -2929,8 +2923,8 @@ process_flow(struct bridge *br, const struct flow *flow,
 {
     struct port *in_port;
     struct port *out_port;
+    struct mac_entry *mac;
     int vlan;
-    int out_port_idx;
 
     /* Check whether we should drop packets in this flow. */
     if (!is_admissible(br, flow, packet != NULL, tags, &vlan, &in_port)) {
@@ -2944,10 +2938,9 @@ process_flow(struct bridge *br, const struct flow *flow,
     }
 
     /* Determine output port. */
-    out_port_idx = mac_learning_lookup_tag(br->ml, flow->dl_dst, vlan, tags,
-                                           NULL);
-    if (out_port_idx >= 0 && out_port_idx < br->n_ports) {
-        out_port = br->ports[out_port_idx];
+    mac = mac_learning_lookup(br->ml, flow->dl_dst, vlan, tags);
+    if (mac) {
+        out_port = mac->port.p;
     } else if (!packet && !eth_addr_is_multicast(flow->dl_dst)) {
         /* If we are revalidating but don't have a learning entry then
          * eject the flow.  Installing a flow that floods packets opens
@@ -3056,16 +3049,15 @@ static void
 bridge_account_checkpoint_ofhook_cb(void *br_)
 {
     struct bridge *br = br_;
+    struct port *port;
     long long int now;
-    size_t i;
 
     if (!br->has_bonded_ports) {
         return;
     }
 
     now = time_msec();
-    for (i = 0; i < br->n_ports; i++) {
-        struct port *port = br->ports[i];
+    HMAP_FOR_EACH (port, hmap_node, &br->ports) {
         if (port->n_ifaces > 1 && port->bond_mode != BM_AB
             && now >= port->bond_next_rebalance) {
             port->bond_next_rebalance = now + port->bond_rebalance_interval;
@@ -3121,8 +3113,8 @@ compare_bond_entries(const void *a_, const void *b_)
     const struct bond_entry *const *bp = b_;
     const struct bond_entry *a = *ap;
     const struct bond_entry *b = *bp;
-    if (a->iface_idx != b->iface_idx) {
-        return a->iface_idx > b->iface_idx ? 1 : -1;
+    if (a->iface != b->iface) {
+        return a->iface > b->iface ? 1 : -1;
     } else if (a->tx_bytes != b->tx_bytes) {
         return a->tx_bytes > b->tx_bytes ? 1 : -1;
     } else {
@@ -3248,9 +3240,9 @@ bond_shift_load(struct slave_balance *from, struct slave_balance *to,
     to->tx_bytes += delta;
 
     /* Arrange for flows to be revalidated. */
-    ofproto_revalidate(port->bridge->ofproto, hash->iface_tag);
-    hash->iface_idx = to->iface->port_ifidx;
-    hash->iface_tag = tag_create_random();
+    ofproto_revalidate(port->bridge->ofproto, hash->tag);
+    hash->iface = to->iface;
+    hash->tag = tag_create_random();
 }
 
 static void
@@ -3261,6 +3253,7 @@ bond_rebalance_port(struct port *port)
     struct bond_entry *hashes[BOND_MASK + 1];
     struct slave_balance *b, *from, *to;
     struct bond_entry *e;
+    struct iface *iface;
     size_t i;
 
     assert(port->bond_mode != BM_AB);
@@ -3276,26 +3269,34 @@ bond_rebalance_port(struct port *port)
      * become contiguous in memory, and then we point each 'hashes' members of
      * a slave_balance structure to the start of a contiguous group. */
     n_bals = port->n_ifaces;
-    bals = xmalloc(n_bals * sizeof *bals);
-    for (b = bals; b < &bals[n_bals]; b++) {
-        b->iface = port->ifaces[b - bals];
+    b = bals = xmalloc(n_bals * sizeof *bals);
+    LIST_FOR_EACH (iface, port_elem, &port->ifaces) {
+        b->iface = iface;
         b->tx_bytes = 0;
         b->hashes = NULL;
         b->n_hashes = 0;
+        b++;
     }
+    assert(b == &bals[n_bals]);
     for (i = 0; i <= BOND_MASK; i++) {
         hashes[i] = &port->bond_hash[i];
     }
     qsort(hashes, BOND_MASK + 1, sizeof *hashes, compare_bond_entries);
     for (i = 0; i <= BOND_MASK; i++) {
         e = hashes[i];
-        if (e->iface_idx >= 0 && e->iface_idx < port->n_ifaces) {
-            b = &bals[e->iface_idx];
-            b->tx_bytes += e->tx_bytes;
-            if (!b->hashes) {
-                b->hashes = &hashes[i];
+        if (!e->iface) {
+            continue;
+        }
+
+        for (b = bals; b < &bals[n_bals]; b++) {
+            if (b->iface == e->iface) {
+                b->tx_bytes += e->tx_bytes;
+                if (!b->hashes) {
+                    b->hashes = &hashes[i];
+                }
+                b->n_hashes++;
+                break;
             }
-            b->n_hashes++;
         }
     }
     qsort(bals, n_bals, sizeof *bals, compare_slave_balance);
@@ -3396,6 +3397,9 @@ bond_rebalance_port(struct port *port)
      * historical data to decay to <1% in 7 rebalancing runs.  */
     for (e = &port->bond_hash[0]; e <= &port->bond_hash[BOND_MASK]; e++) {
         e->tx_bytes /= 2;
+        if (!e->tx_bytes) {
+            e->iface = NULL;
+        }
     }
 
 exit:
@@ -3410,7 +3414,7 @@ bond_send_learning_packets(struct port *port)
     struct ofpbuf packet;
     int error, n_packets, n_errors;
 
-    if (!port->n_ifaces || port->active_iface < 0 || bond_is_tcp_hash(port)) {
+    if (!port->n_ifaces || !port->active_iface || bond_is_tcp_hash(port)) {
         return;
     }
 
@@ -3422,7 +3426,7 @@ bond_send_learning_packets(struct port *port)
         struct flow flow;
         int retval;
 
-        if (e->port == port->port_idx) {
+        if (e->port.p == port) {
             continue;
         }
 
@@ -3467,18 +3471,16 @@ bond_unixctl_list(struct unixctl_conn *conn,
     ds_put_cstr(&ds, "bridge\tbond\ttype\tslaves\n");
 
     LIST_FOR_EACH (br, node, &all_bridges) {
-        size_t i;
+        struct port *port;
 
-        for (i = 0; i < br->n_ports; i++) {
-            const struct port *port = br->ports[i];
+        HMAP_FOR_EACH (port, hmap_node, &br->ports) {
             if (port->n_ifaces > 1) {
-                size_t j;
+                struct iface *iface;
 
                 ds_put_format(&ds, "%s\t%s\t%s\t", br->name, port->name,
                               bond_mode_to_string(port->bond_mode));
-                for (j = 0; j < port->n_ifaces; j++) {
-                    const struct iface *iface = port->ifaces[j];
-                    if (j) {
+                LIST_FOR_EACH (iface, port_elem, &port->ifaces) {
+                    if (&iface->port_elem != list_front(&port->ifaces)) {
                         ds_put_cstr(&ds, ", ");
                     }
                     ds_put_cstr(&ds, iface->name);
@@ -3497,10 +3499,9 @@ bond_find(const char *name)
     const struct bridge *br;
 
     LIST_FOR_EACH (br, node, &all_bridges) {
-        size_t i;
+        struct port *port;
 
-        for (i = 0; i < br->n_ports; i++) {
-            struct port *port = br->ports[i];
+        HMAP_FOR_EACH (port, hmap_node, &br->ports) {
             if (!strcmp(port->name, name) && port->n_ifaces > 1) {
                 return port;
             }
@@ -3515,7 +3516,7 @@ bond_unixctl_show(struct unixctl_conn *conn,
 {
     struct ds ds = DS_EMPTY_INITIALIZER;
     const struct port *port;
-    size_t j;
+    struct iface *iface;
 
     port = bond_find(args);
     if (!port) {
@@ -3555,15 +3556,14 @@ bond_unixctl_show(struct unixctl_conn *conn,
                       port->bond_next_rebalance - time_msec());
     }
 
-    for (j = 0; j < port->n_ifaces; j++) {
-        const struct iface *iface = port->ifaces[j];
+    LIST_FOR_EACH (iface, port_elem, &port->ifaces) {
         struct bond_entry *be;
         struct flow flow;
 
         /* Basic info. */
         ds_put_format(&ds, "\nslave %s: %s\n",
                       iface->name, iface->enabled ? "enabled" : "disabled");
-        if (j == port->active_iface) {
+        if (iface == port->active_iface) {
             ds_put_cstr(&ds, "\tactive slave\n");
         }
         if (iface->delay_expires != LLONG_MAX) {
@@ -3582,7 +3582,7 @@ bond_unixctl_show(struct unixctl_conn *conn,
             int hash = be - port->bond_hash;
             struct mac_entry *me;
 
-            if (be->iface_idx != j) {
+            if (be->iface != iface) {
                 continue;
             }
 
@@ -3600,7 +3600,7 @@ bond_unixctl_show(struct unixctl_conn *conn,
 
                 memcpy(flow.dl_src, me->mac, ETH_ADDR_LEN);
                 if (bond_hash_src(me->mac, me->vlan) == hash
-                    && me->port != port->port_idx
+                    && me->port.p != port
                     && choose_output_iface(port, &flow, me->vlan,
                                            &dp_ifidx, &tags)
                     && dp_ifidx == iface->dp_ifidx)
@@ -3666,9 +3666,9 @@ bond_unixctl_migrate(struct unixctl_conn *conn, const char *args_,
     }
 
     entry = &port->bond_hash[hash];
-    ofproto_revalidate(port->bridge->ofproto, entry->iface_tag);
-    entry->iface_idx = iface->port_ifidx;
-    entry->iface_tag = tag_create_random();
+    ofproto_revalidate(port->bridge->ofproto, entry->tag);
+    entry->iface = iface;
+    entry->tag = tag_create_random();
     unixctl_command_reply(conn, 200, "migrated");
 }
 
@@ -3707,10 +3707,10 @@ bond_unixctl_set_active_slave(struct unixctl_conn *conn, const char *args_,
         return;
     }
 
-    if (port->active_iface != iface->port_ifidx) {
-        ofproto_revalidate(port->bridge->ofproto, port->active_iface_tag);
-        port->active_iface = iface->port_ifidx;
-        port->active_iface_tag = tag_create_random();
+    if (port->active_iface != iface) {
+        ofproto_revalidate(port->bridge->ofproto,
+                           port_get_active_iface_tag(port));
+        port->active_iface = iface;
         VLOG_INFO("port %s: active interface is now %s",
                   port->name, iface->name);
         bond_send_learning_packets(port);
@@ -3830,9 +3830,12 @@ lacp_send_pdu_cb(void *aux, const struct lacp_pdu *pdu)
     error = netdev_get_etheraddr(iface->netdev, ea);
     if (!error) {
         struct ofpbuf packet;
+        struct lacp_pdu *packet_pdu;
 
-        ofpbuf_init(&packet, ETH_HEADER_LEN + LACP_PDU_LEN);
-        compose_lacp_packet(&packet, ea, pdu);
+        ofpbuf_init(&packet, 0);
+        packet_pdu = compose_packet(&packet, eth_addr_lacp, ea, ETH_TYPE_LACP,
+                                    sizeof *packet_pdu);
+        memcpy(packet_pdu, pdu, sizeof *packet_pdu);
         ofproto_send_packet(iface->port->bridge->ofproto,
                             iface->dp_ifidx, 0, &packet);
         ofpbuf_uninit(&packet);
@@ -3860,20 +3863,18 @@ port_run(struct port *port)
             free(devname);
         }
     } else if (time_msec() >= port->miimon_next_update) {
-        size_t i;
+        struct iface *iface;
 
-        for (i = 0; i < port->n_ifaces; i++) {
-            struct iface *iface = port->ifaces[i];
+        LIST_FOR_EACH (iface, port_elem, &port->ifaces) {
             iface_update_carrier(iface);
         }
         port->miimon_next_update = time_msec() + port->miimon_interval;
     }
 
     if (port->lacp) {
-        size_t i;
+        struct iface *iface;
 
-        for (i = 0; i < port->n_ifaces; i++) {
-            struct iface *iface = port->ifaces[i];
+        LIST_FOR_EACH (iface, port_elem, &port->ifaces) {
             lacp_slave_enable(port->lacp, iface, iface->enabled);
         }
 
@@ -3906,18 +3907,13 @@ port_create(struct bridge *br, const char *name)
 
     port = xzalloc(sizeof *port);
     port->bridge = br;
-    port->port_idx = br->n_ports;
     port->vlan = -1;
     port->trunks = NULL;
     port->name = xstrdup(name);
-    port->active_iface = -1;
+    port->active_iface = NULL;
+    list_init(&port->ifaces);
 
-    if (br->n_ports >= br->allocated_ports) {
-        br->ports = x2nrealloc(br->ports, &br->allocated_ports,
-                               sizeof *br->ports);
-    }
-    br->ports[br->n_ports++] = port;
-    shash_add_assert(&br->port_by_name, port->name, port);
+    hmap_insert(&br->ports, &port->hmap_node, hash_string(port->name, 0));
 
     VLOG_INFO("created port %s on bridge %s", port->name, br->name);
     bridge_flush(br);
@@ -3950,35 +3946,51 @@ get_interface_other_config(const struct ovsrec_interface *iface,
 static void
 port_del_ifaces(struct port *port, const struct ovsrec_port *cfg)
 {
-    struct shash new_ifaces;
+    struct iface *iface, *next;
+    struct sset new_ifaces;
     size_t i;
 
     /* Collect list of new interfaces. */
-    shash_init(&new_ifaces);
+    sset_init(&new_ifaces);
     for (i = 0; i < cfg->n_interfaces; i++) {
         const char *name = cfg->interfaces[i]->name;
-        shash_add_once(&new_ifaces, name, NULL);
+        sset_add(&new_ifaces, name);
     }
 
     /* Get rid of deleted interfaces. */
-    for (i = 0; i < port->n_ifaces; ) {
-        struct iface *iface = port->ifaces[i];
-        if (!shash_find(&new_ifaces, iface->name)) {
+    LIST_FOR_EACH_SAFE (iface, next, port_elem, &port->ifaces) {
+        if (!sset_contains(&new_ifaces, iface->name)) {
             iface_destroy(iface);
-        } else {
-            i++;
         }
     }
 
-    shash_destroy(&new_ifaces);
+    sset_destroy(&new_ifaces);
+}
+
+/* Expires all MAC learning entries associated with 'port' and forces ofproto
+ * to revalidate every flow. */
+static void
+port_flush_macs(struct port *port)
+{
+    struct bridge *br = port->bridge;
+    struct mac_learning *ml = br->ml;
+    struct mac_entry *mac, *next_mac;
+
+    bridge_flush(br);
+    LIST_FOR_EACH_SAFE (mac, next_mac, lru_node, &ml->lrus) {
+        if (mac->port.p == port) {
+            mac_learning_expire(ml, mac);
+        }
+    }
 }
 
 static void
 port_reconfigure(struct port *port, const struct ovsrec_port *cfg)
 {
     const char *detect_mode;
-    struct shash new_ifaces;
+    struct sset new_ifaces;
     long long int next_rebalance, miimon_next_update, lacp_priority;
+    bool need_flush = false;
     unsigned long *trunks;
     int vlan;
     size_t i;
@@ -4044,12 +4056,12 @@ port_reconfigure(struct port *port, const struct ovsrec_port *cfg)
     }
 
     /* Add new interfaces and update 'cfg' member of existing ones. */
-    shash_init(&new_ifaces);
+    sset_init(&new_ifaces);
     for (i = 0; i < cfg->n_interfaces; i++) {
         const struct ovsrec_interface *if_cfg = cfg->interfaces[i];
         struct iface *iface;
 
-        if (!shash_add_once(&new_ifaces, if_cfg->name, NULL)) {
+        if (!sset_add(&new_ifaces, if_cfg->name)) {
             VLOG_WARN("port %s: %s specified twice as port interface",
                       port->name, if_cfg->name);
             iface_set_ofport(if_cfg, -1);
@@ -4086,7 +4098,7 @@ port_reconfigure(struct port *port, const struct ovsrec_port *cfg)
             iface->lacp_priority = lacp_priority;
         }
     }
-    shash_destroy(&new_ifaces);
+    sset_destroy(&new_ifaces);
 
     port->lacp_fast = !strcmp(get_port_other_config(cfg, "lacp-time", "slow"),
                              "fast");
@@ -4145,7 +4157,7 @@ port_reconfigure(struct port *port, const struct ovsrec_port *cfg)
     }
     if (port->vlan != vlan) {
         port->vlan = vlan;
-        bridge_flush(port->bridge);
+        need_flush = true;
     }
 
     /* Get trunked VLANs. */
@@ -4180,10 +4192,14 @@ port_reconfigure(struct port *port, const struct ovsrec_port *cfg)
     if (trunks == NULL
         ? port->trunks != NULL
         : port->trunks == NULL || !bitmap_equal(trunks, port->trunks, 4096)) {
-        bridge_flush(port->bridge);
+        need_flush = true;
     }
     bitmap_free(port->trunks);
     port->trunks = trunks;
+
+    if (need_flush) {
+        port_flush_macs(port);
+    }
 }
 
 static void
@@ -4191,7 +4207,7 @@ port_destroy(struct port *port)
 {
     if (port) {
         struct bridge *br = port->bridge;
-        struct port *del;
+        struct iface *iface, *next;
         int i;
 
         for (i = 0; i < MAX_MIRRORS; i++) {
@@ -4201,23 +4217,22 @@ port_destroy(struct port *port)
             }
         }
 
-        while (port->n_ifaces > 0) {
-            iface_destroy(port->ifaces[port->n_ifaces - 1]);
+        LIST_FOR_EACH_SAFE (iface, next, port_elem, &port->ifaces) {
+            iface_destroy(iface);
         }
 
-        shash_find_and_delete_assert(&br->port_by_name, port->name);
-
-        del = br->ports[port->port_idx] = br->ports[--br->n_ports];
-        del->port_idx = port->port_idx;
+        hmap_remove(&br->ports, &port->hmap_node);
 
         VLOG_INFO("destroyed port %s on bridge %s", port->name, br->name);
 
+        port_flush_macs(port);
+
+        lacp_destroy(port->lacp);
         netdev_monitor_destroy(port->monitor);
-        free(port->ifaces);
         bitmap_free(port->trunks);
+        free(port->bond_hash);
         free(port->name);
         free(port);
-        bridge_flush(br);
     }
 }
 
@@ -4231,7 +4246,15 @@ port_from_dp_ifidx(const struct bridge *br, uint16_t dp_ifidx)
 static struct port *
 port_lookup(const struct bridge *br, const char *name)
 {
-    return shash_find_data(&br->port_by_name, name);
+    struct port *port;
+
+    HMAP_FOR_EACH_WITH_HASH (port, hmap_node, hash_string(name, 0),
+                             &br->ports) {
+        if (!strcmp(port->name, name)) {
+            return port;
+        }
+    }
+    return NULL;
 }
 
 static struct iface *
@@ -4245,14 +4268,13 @@ static void
 port_update_lacp(struct port *port)
 {
     if (port->lacp) {
-        size_t i;
+        struct iface *iface;
 
         lacp_configure(port->lacp, port->name,
                        port->bridge->ea, port->lacp_priority,
                        port->lacp_active, port->lacp_fast);
 
-        for (i = 0; i < port->n_ifaces; i++) {
-            struct iface *iface = port->ifaces[i];
+        LIST_FOR_EACH (iface, port_elem, &port->ifaces) {
             lacp_slave_register(port->lacp, iface, iface->name,
                                 iface->dp_ifidx, iface->lacp_priority);
         }
@@ -4267,7 +4289,7 @@ port_update_bonding(struct port *port)
         free(port->bond_hash);
         port->bond_hash = NULL;
         port->bond_fake_iface = false;
-        port->active_iface = -1;
+        port->active_iface = NULL;
         port->no_ifaces_tag = 0;
     } else {
         size_t i;
@@ -4276,7 +4298,7 @@ port_update_bonding(struct port *port)
             port->bond_hash = xcalloc(BOND_MASK + 1, sizeof *port->bond_hash);
             for (i = 0; i <= BOND_MASK; i++) {
                 struct bond_entry *e = &port->bond_hash[i];
-                e->iface_idx = -1;
+                e->iface = NULL;
                 e->tx_bytes = 0;
             }
             port->bond_next_rebalance
@@ -4290,7 +4312,7 @@ port_update_bonding(struct port *port)
             port->no_ifaces_tag = tag_create_random();
         }
 
-        if (port->active_iface < 0) {
+        if (!port->active_iface) {
             bond_choose_active_iface(port);
         }
 
@@ -4313,7 +4335,6 @@ iface_create(struct port *port, const struct ovsrec_interface *if_cfg)
 
     iface = xzalloc(sizeof *iface);
     iface->port = port;
-    iface->port_ifidx = port->n_ifaces;
     iface->name = xstrdup(name);
     iface->dp_ifidx = -1;
     iface->tag = tag_create_random();
@@ -4323,11 +4344,9 @@ iface_create(struct port *port, const struct ovsrec_interface *if_cfg)
 
     shash_add_assert(&br->iface_by_name, iface->name, iface);
 
-    if (port->n_ifaces >= port->allocated_ifaces) {
-        port->ifaces = x2nrealloc(port->ifaces, &port->allocated_ifaces,
-                                  sizeof *port->ifaces);
-    }
-    port->ifaces[port->n_ifaces++] = iface;
+    list_push_back(&port->ifaces, &iface->port_elem);
+    port->n_ifaces++;
+
     if (port->n_ifaces > 1) {
         br->has_bonded_ports = true;
     }
@@ -4345,8 +4364,16 @@ iface_destroy(struct iface *iface)
     if (iface) {
         struct port *port = iface->port;
         struct bridge *br = port->bridge;
-        bool del_active = port->active_iface == iface->port_ifidx;
-        struct iface *del;
+        bool del_active = port->active_iface == iface;
+
+        if (port->bond_hash) {
+            struct bond_entry *e;
+            for (e = port->bond_hash; e <= &port->bond_hash[BOND_MASK]; e++) {
+                if (e->iface == iface) {
+                    e->iface = NULL;
+                }
+            }
+        }
 
         if (iface->port->lacp) {
             lacp_slave_unregister(iface->port->lacp, iface);
@@ -4362,8 +4389,8 @@ iface_destroy(struct iface *iface)
             hmap_remove(&br->ifaces, &iface->dp_ifidx_node);
         }
 
-        del = port->ifaces[iface->port_ifidx] = port->ifaces[--port->n_ifaces];
-        del->port_ifidx = iface->port_ifidx;
+        list_remove(&iface->port_elem);
+        port->n_ifaces--;
 
         netdev_close(iface->netdev);
 
@@ -4577,7 +4604,7 @@ iface_update_cfm(struct iface *iface)
     struct cfm cfm;
     uint16_t *remote_mps;
     struct ovsrec_monitor *mon;
-    uint8_t ea[ETH_ADDR_LEN], maid[CCM_MAID_LEN];
+    uint8_t maid[CCM_MAID_LEN];
 
     mon = iface->cfg->monitor;
 
@@ -4586,12 +4613,6 @@ iface_update_cfm(struct iface *iface)
         return;
     }
 
-    if (netdev_get_etheraddr(iface->netdev, ea)) {
-        VLOG_WARN("interface %s: Failed to get ethernet address. "
-                  "Skipping Monitor.", iface->name);
-        return;
-    }
-
     if (!cfm_generate_maid(mon->md_name, mon->ma_name, maid)) {
         VLOG_WARN("interface %s: Failed to generate MAID.", iface->name);
         return;
@@ -4600,7 +4621,6 @@ iface_update_cfm(struct iface *iface)
     cfm.mpid     = mon->mpid;
     cfm.interval = mon->interval ? *mon->interval : 1000;
 
-    memcpy(cfm.eth_src, ea, sizeof cfm.eth_src);
     memcpy(cfm.maid, maid, sizeof cfm.maid);
 
     remote_mps = xzalloc(mon->n_remote_mps * sizeof *remote_mps);
@@ -4645,6 +4665,7 @@ static void
 mirror_reconfigure(struct bridge *br)
 {
     unsigned long *rspan_vlans;
+    struct port *port;
     int i;
 
     /* Get rid of deleted mirrors. */
@@ -4674,8 +4695,8 @@ mirror_reconfigure(struct bridge *br)
     }
 
     /* Update port reserved status. */
-    for (i = 0; i < br->n_ports; i++) {
-        br->ports[i]->is_mirror_output_port = false;
+    HMAP_FOR_EACH (port, hmap_node, &br->ports) {
+        port->is_mirror_output_port = false;
     }
     for (i = 0; i < MAX_MIRRORS; i++) {
         struct mirror *m = br->mirrors[i];
@@ -4703,6 +4724,7 @@ mirror_reconfigure(struct bridge *br)
     }
     if (mac_learning_set_flood_vlans(br->ml, rspan_vlans)) {
         bridge_flush(br);
+        mac_learning_flush(br->ml);
     }
 }
 
@@ -4725,13 +4747,14 @@ mirror_create(struct bridge *br, struct ovsrec_mirror *cfg)
 
     VLOG_INFO("created port mirror %s on bridge %s", cfg->name, br->name);
     bridge_flush(br);
+    mac_learning_flush(br->ml);
 
     br->mirrors[i] = m = xzalloc(sizeof *m);
     m->bridge = br;
     m->idx = i;
     m->name = xstrdup(cfg->name);
-    shash_init(&m->src_ports);
-    shash_init(&m->dst_ports);
+    sset_init(&m->src_ports);
+    sset_init(&m->dst_ports);
     m->vlans = NULL;
     m->n_vlans = 0;
     m->out_vlan = -1;
@@ -4745,15 +4768,15 @@ mirror_destroy(struct mirror *m)
 {
     if (m) {
         struct bridge *br = m->bridge;
-        size_t i;
+        struct port *port;
 
-        for (i = 0; i < br->n_ports; i++) {
-            br->ports[i]->src_mirrors &= ~(MIRROR_MASK_C(1) << m->idx);
-            br->ports[i]->dst_mirrors &= ~(MIRROR_MASK_C(1) << m->idx);
+        HMAP_FOR_EACH (port, hmap_node, &br->ports) {
+            port->src_mirrors &= ~(MIRROR_MASK_C(1) << m->idx);
+            port->dst_mirrors &= ~(MIRROR_MASK_C(1) << m->idx);
         }
 
-        shash_destroy(&m->src_ports);
-        shash_destroy(&m->dst_ports);
+        sset_destroy(&m->src_ports);
+        sset_destroy(&m->dst_ports);
         free(m->vlans);
 
         m->bridge->mirrors[m->idx] = NULL;
@@ -4761,19 +4784,20 @@ mirror_destroy(struct mirror *m)
         free(m);
 
         bridge_flush(br);
+        mac_learning_flush(br->ml);
     }
 }
 
 static void
 mirror_collect_ports(struct mirror *m, struct ovsrec_port **ports, int n_ports,
-                     struct shash *names)
+                     struct sset *names)
 {
     size_t i;
 
     for (i = 0; i < n_ports; i++) {
         const char *name = ports[i]->name;
         if (port_lookup(m->bridge, name)) {
-            shash_add_once(names, name, NULL);
+            sset_add(names, name);
         } else {
             VLOG_WARN("bridge %s: mirror %s cannot match on nonexistent "
                       "port %s", m->bridge->name, m->name, name);
@@ -4831,13 +4855,13 @@ port_trunks_any_mirrored_vlan(const struct mirror *m, const struct port *p)
 static void
 mirror_reconfigure_one(struct mirror *m, struct ovsrec_mirror *cfg)
 {
-    struct shash src_ports, dst_ports;
+    struct sset src_ports, dst_ports;
     mirror_mask_t mirror_bit;
     struct port *out_port;
+    struct port *port;
     int out_vlan;
     size_t n_vlans;
     int *vlans;
-    size_t i;
 
     /* Set name. */
     if (strcmp(cfg->name, m->name)) {
@@ -4871,13 +4895,12 @@ mirror_reconfigure_one(struct mirror *m, struct ovsrec_mirror *cfg)
         return;
     }
 
-    shash_init(&src_ports);
-    shash_init(&dst_ports);
+    sset_init(&src_ports);
+    sset_init(&dst_ports);
     if (cfg->select_all) {
-        for (i = 0; i < m->bridge->n_ports; i++) {
-            const char *name = m->bridge->ports[i]->name;
-            shash_add_once(&src_ports, name, NULL);
-            shash_add_once(&dst_ports, name, NULL);
+        HMAP_FOR_EACH (port, hmap_node, &m->bridge->ports) {
+            sset_add(&src_ports, port->name);
+            sset_add(&dst_ports, port->name);
         }
         vlans = NULL;
         n_vlans = 0;
@@ -4893,16 +4916,17 @@ mirror_reconfigure_one(struct mirror *m, struct ovsrec_mirror *cfg)
     }
 
     /* Update mirror data. */
-    if (!shash_equal_keys(&m->src_ports, &src_ports)
-        || !shash_equal_keys(&m->dst_ports, &dst_ports)
+    if (!sset_equals(&m->src_ports, &src_ports)
+        || !sset_equals(&m->dst_ports, &dst_ports)
         || m->n_vlans != n_vlans
         || memcmp(m->vlans, vlans, sizeof *vlans * n_vlans)
         || m->out_port != out_port
         || m->out_vlan != out_vlan) {
         bridge_flush(m->bridge);
+        mac_learning_flush(m->bridge->ml);
     }
-    shash_swap(&m->src_ports, &src_ports);
-    shash_swap(&m->dst_ports, &dst_ports);
+    sset_swap(&m->src_ports, &src_ports);
+    sset_swap(&m->dst_ports, &dst_ports);
     free(m->vlans);
     m->vlans = vlans;
     m->n_vlans = n_vlans;
@@ -4911,10 +4935,8 @@ mirror_reconfigure_one(struct mirror *m, struct ovsrec_mirror *cfg)
 
     /* Update ports. */
     mirror_bit = MIRROR_MASK_C(1) << m->idx;
-    for (i = 0; i < m->bridge->n_ports; i++) {
-        struct port *port = m->bridge->ports[i];
-
-        if (shash_find(&m->src_ports, port->name)
+    HMAP_FOR_EACH (port, hmap_node, &m->bridge->ports) {
+        if (sset_contains(&m->src_ports, port->name)
             || (m->n_vlans
                 && (!port->vlan
                     ? port_trunks_any_mirrored_vlan(m, port)
@@ -4924,7 +4946,7 @@ mirror_reconfigure_one(struct mirror *m, struct ovsrec_mirror *cfg)
             port->src_mirrors &= ~mirror_bit;
         }
 
-        if (shash_find(&m->dst_ports, port->name)) {
+        if (sset_contains(&m->dst_ports, port->name)) {
             port->dst_mirrors |= mirror_bit;
         } else {
             port->dst_mirrors &= ~mirror_bit;
@@ -4932,6 +4954,6 @@ mirror_reconfigure_one(struct mirror *m, struct ovsrec_mirror *cfg)
     }
 
     /* Clean up. */
-    shash_destroy(&src_ports);
-    shash_destroy(&dst_ports);
+    sset_destroy(&src_ports);
+    sset_destroy(&dst_ports);
 }