Fedora kernel-2.6.17-1.2142_FC4 patched with stable patch-2.6.17.4-vs2.0.2-rc26.diff
[linux-2.6.git] / sound / pci / ac97 / ac97_pcm.c
1 /*
2  *  Copyright (c) by Jaroslav Kysela <perex@suse.cz>
3  *  Universal interface for Audio Codec '97
4  *
5  *  For more details look to AC '97 component specification revision 2.2
6  *  by Intel Corporation (http://developer.intel.com) and to datasheets
7  *  for specific codecs.
8  *
9  *
10  *   This program is free software; you can redistribute it and/or modify
11  *   it under the terms of the GNU General Public License as published by
12  *   the Free Software Foundation; either version 2 of the License, or
13  *   (at your option) any later version.
14  *
15  *   This program is distributed in the hope that it will be useful,
16  *   but WITHOUT ANY WARRANTY; without even the implied warranty of
17  *   MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
18  *   GNU General Public License for more details.
19  *
20  *   You should have received a copy of the GNU General Public License
21  *   along with this program; if not, write to the Free Software
22  *   Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA  02111-1307 USA
23  *
24  */
25
26 #include <sound/driver.h>
27 #include <linux/delay.h>
28 #include <linux/init.h>
29 #include <linux/slab.h>
30 #include <linux/mutex.h>
31
32 #include <sound/core.h>
33 #include <sound/pcm.h>
34 #include <sound/control.h>
35 #include <sound/ac97_codec.h>
36 #include <sound/asoundef.h>
37 #include "ac97_patch.h"
38 #include "ac97_id.h"
39 #include "ac97_local.h"
40
41 /*
42  *  PCM support
43  */
44
45 static unsigned char rate_reg_tables[2][4][9] = {
46 {
47   /* standard rates */
48   {
49         /* 3&4 front, 7&8 rear, 6&9 center/lfe */
50         AC97_PCM_FRONT_DAC_RATE,        /* slot 3 */
51         AC97_PCM_FRONT_DAC_RATE,        /* slot 4 */
52         0xff,                           /* slot 5 */
53         AC97_PCM_LFE_DAC_RATE,          /* slot 6 */
54         AC97_PCM_SURR_DAC_RATE,         /* slot 7 */
55         AC97_PCM_SURR_DAC_RATE,         /* slot 8 */
56         AC97_PCM_LFE_DAC_RATE,          /* slot 9 */
57         0xff,                           /* slot 10 */
58         0xff,                           /* slot 11 */
59   },
60   {
61         /* 7&8 front, 6&9 rear, 10&11 center/lfe */
62         0xff,                           /* slot 3 */
63         0xff,                           /* slot 4 */
64         0xff,                           /* slot 5 */
65         AC97_PCM_SURR_DAC_RATE,         /* slot 6 */
66         AC97_PCM_FRONT_DAC_RATE,        /* slot 7 */
67         AC97_PCM_FRONT_DAC_RATE,        /* slot 8 */
68         AC97_PCM_SURR_DAC_RATE,         /* slot 9 */
69         AC97_PCM_LFE_DAC_RATE,          /* slot 10 */
70         AC97_PCM_LFE_DAC_RATE,          /* slot 11 */
71   },
72   {
73         /* 6&9 front, 10&11 rear, 3&4 center/lfe */
74         AC97_PCM_LFE_DAC_RATE,          /* slot 3 */
75         AC97_PCM_LFE_DAC_RATE,          /* slot 4 */
76         0xff,                           /* slot 5 */
77         AC97_PCM_FRONT_DAC_RATE,        /* slot 6 */
78         0xff,                           /* slot 7 */
79         0xff,                           /* slot 8 */
80         AC97_PCM_FRONT_DAC_RATE,        /* slot 9 */
81         AC97_PCM_SURR_DAC_RATE,         /* slot 10 */
82         AC97_PCM_SURR_DAC_RATE,         /* slot 11 */
83   },
84   {
85         /* 10&11 front, 3&4 rear, 7&8 center/lfe */
86         AC97_PCM_SURR_DAC_RATE,         /* slot 3 */
87         AC97_PCM_SURR_DAC_RATE,         /* slot 4 */
88         0xff,                           /* slot 5 */
89         0xff,                           /* slot 6 */
90         AC97_PCM_LFE_DAC_RATE,          /* slot 7 */
91         AC97_PCM_LFE_DAC_RATE,          /* slot 8 */
92         0xff,                           /* slot 9 */
93         AC97_PCM_FRONT_DAC_RATE,        /* slot 10 */
94         AC97_PCM_FRONT_DAC_RATE,        /* slot 11 */
95   },
96 },
97 {
98   /* double rates */
99   {
100         /* 3&4 front, 7&8 front (t+1) */
101         AC97_PCM_FRONT_DAC_RATE,        /* slot 3 */
102         AC97_PCM_FRONT_DAC_RATE,        /* slot 4 */
103         0xff,                           /* slot 5 */
104         0xff,                           /* slot 6 */
105         AC97_PCM_FRONT_DAC_RATE,        /* slot 7 */
106         AC97_PCM_FRONT_DAC_RATE,        /* slot 8 */
107         0xff,                           /* slot 9 */
108         0xff,                           /* slot 10 */
109         0xff,                           /* slot 11 */
110   },
111   {
112         /* not specified in the specification */
113         0xff,                           /* slot 3 */
114         0xff,                           /* slot 4 */
115         0xff,                           /* slot 5 */
116         0xff,                           /* slot 6 */
117         0xff,                           /* slot 7 */
118         0xff,                           /* slot 8 */
119         0xff,                           /* slot 9 */
120         0xff,                           /* slot 10 */
121         0xff,                           /* slot 11 */
122   },
123   {
124         0xff,                           /* slot 3 */
125         0xff,                           /* slot 4 */
126         0xff,                           /* slot 5 */
127         0xff,                           /* slot 6 */
128         0xff,                           /* slot 7 */
129         0xff,                           /* slot 8 */
130         0xff,                           /* slot 9 */
131         0xff,                           /* slot 10 */
132         0xff,                           /* slot 11 */
133   },
134   {
135         0xff,                           /* slot 3 */
136         0xff,                           /* slot 4 */
137         0xff,                           /* slot 5 */
138         0xff,                           /* slot 6 */
139         0xff,                           /* slot 7 */
140         0xff,                           /* slot 8 */
141         0xff,                           /* slot 9 */
142         0xff,                           /* slot 10 */
143         0xff,                           /* slot 11 */
144   }
145 }};
146
147 /* FIXME: more various mappings for ADC? */
148 static unsigned char rate_cregs[9] = {
149         AC97_PCM_LR_ADC_RATE,   /* 3 */
150         AC97_PCM_LR_ADC_RATE,   /* 4 */
151         0xff,                   /* 5 */
152         AC97_PCM_MIC_ADC_RATE,  /* 6 */
153         0xff,                   /* 7 */
154         0xff,                   /* 8 */
155         0xff,                   /* 9 */
156         0xff,                   /* 10 */
157         0xff,                   /* 11 */
158 };
159
160 static unsigned char get_slot_reg(struct ac97_pcm *pcm, unsigned short cidx,
161                                   unsigned short slot, int dbl)
162 {
163         if (slot < 3)
164                 return 0xff;
165         if (slot > 11)
166                 return 0xff;
167         if (pcm->spdif)
168                 return AC97_SPDIF; /* pseudo register */
169         if (pcm->stream == SNDRV_PCM_STREAM_PLAYBACK)
170                 return rate_reg_tables[dbl][pcm->r[dbl].rate_table[cidx]][slot - 3];
171         else
172                 return rate_cregs[slot - 3];
173 }
174
175 static int set_spdif_rate(struct snd_ac97 *ac97, unsigned short rate)
176 {
177         unsigned short old, bits, reg, mask;
178         unsigned int sbits;
179
180         if (! (ac97->ext_id & AC97_EI_SPDIF))
181                 return -ENODEV;
182
183         /* TODO: double rate support */
184         if (ac97->flags & AC97_CS_SPDIF) {
185                 switch (rate) {
186                 case 48000: bits = 0; break;
187                 case 44100: bits = 1 << AC97_SC_SPSR_SHIFT; break;
188                 default: /* invalid - disable output */
189                         snd_ac97_update_bits(ac97, AC97_EXTENDED_STATUS, AC97_EA_SPDIF, 0);
190                         return -EINVAL;
191                 }
192                 reg = AC97_CSR_SPDIF;
193                 mask = 1 << AC97_SC_SPSR_SHIFT;
194         } else {
195                 if (ac97->id == AC97_ID_CM9739 && rate != 48000) {
196                         snd_ac97_update_bits(ac97, AC97_EXTENDED_STATUS, AC97_EA_SPDIF, 0);
197                         return -EINVAL;
198                 }
199                 switch (rate) {
200                 case 44100: bits = AC97_SC_SPSR_44K; break;
201                 case 48000: bits = AC97_SC_SPSR_48K; break;
202                 case 32000: bits = AC97_SC_SPSR_32K; break;
203                 default: /* invalid - disable output */
204                         snd_ac97_update_bits(ac97, AC97_EXTENDED_STATUS, AC97_EA_SPDIF, 0);
205                         return -EINVAL;
206                 }
207                 reg = AC97_SPDIF;
208                 mask = AC97_SC_SPSR_MASK;
209         }
210
211         mutex_lock(&ac97->reg_mutex);
212         old = snd_ac97_read(ac97, reg) & mask;
213         if (old != bits) {
214                 snd_ac97_update_bits_nolock(ac97, AC97_EXTENDED_STATUS, AC97_EA_SPDIF, 0);
215                 snd_ac97_update_bits_nolock(ac97, reg, mask, bits);
216                 /* update the internal spdif bits */
217                 sbits = ac97->spdif_status;
218                 if (sbits & IEC958_AES0_PROFESSIONAL) {
219                         sbits &= ~IEC958_AES0_PRO_FS;
220                         switch (rate) {
221                         case 44100: sbits |= IEC958_AES0_PRO_FS_44100; break;
222                         case 48000: sbits |= IEC958_AES0_PRO_FS_48000; break;
223                         case 32000: sbits |= IEC958_AES0_PRO_FS_32000; break;
224                         }
225                 } else {
226                         sbits &= ~(IEC958_AES3_CON_FS << 24);
227                         switch (rate) {
228                         case 44100: sbits |= IEC958_AES3_CON_FS_44100<<24; break;
229                         case 48000: sbits |= IEC958_AES3_CON_FS_48000<<24; break;
230                         case 32000: sbits |= IEC958_AES3_CON_FS_32000<<24; break;
231                         }
232                 }
233                 ac97->spdif_status = sbits;
234         }
235         snd_ac97_update_bits_nolock(ac97, AC97_EXTENDED_STATUS, AC97_EA_SPDIF, AC97_EA_SPDIF);
236         mutex_unlock(&ac97->reg_mutex);
237         return 0;
238 }
239
240 /**
241  * snd_ac97_set_rate - change the rate of the given input/output.
242  * @ac97: the ac97 instance
243  * @reg: the register to change
244  * @rate: the sample rate to set
245  *
246  * Changes the rate of the given input/output on the codec.
247  * If the codec doesn't support VAR, the rate must be 48000 (except
248  * for SPDIF).
249  *
250  * The valid registers are AC97_PMC_MIC_ADC_RATE,
251  * AC97_PCM_FRONT_DAC_RATE, AC97_PCM_LR_ADC_RATE.
252  * AC97_PCM_SURR_DAC_RATE and AC97_PCM_LFE_DAC_RATE are accepted
253  * if the codec supports them.
254  * AC97_SPDIF is accepted as a pseudo register to modify the SPDIF
255  * status bits.
256  *
257  * Returns zero if successful, or a negative error code on failure.
258  */
259 int snd_ac97_set_rate(struct snd_ac97 *ac97, int reg, unsigned int rate)
260 {
261         int dbl;
262         unsigned int tmp;
263         
264         dbl = rate > 48000;
265         if (dbl) {
266                 if (!(ac97->flags & AC97_DOUBLE_RATE))
267                         return -EINVAL;
268                 if (reg != AC97_PCM_FRONT_DAC_RATE)
269                         return -EINVAL;
270         }
271
272         switch (reg) {
273         case AC97_PCM_MIC_ADC_RATE:
274                 if ((ac97->regs[AC97_EXTENDED_STATUS] & AC97_EA_VRM) == 0)      /* MIC VRA */
275                         if (rate != 48000)
276                                 return -EINVAL;
277                 break;
278         case AC97_PCM_FRONT_DAC_RATE:
279         case AC97_PCM_LR_ADC_RATE:
280                 if ((ac97->regs[AC97_EXTENDED_STATUS] & AC97_EA_VRA) == 0)      /* VRA */
281                         if (rate != 48000 && rate != 96000)
282                                 return -EINVAL;
283                 break;
284         case AC97_PCM_SURR_DAC_RATE:
285                 if (! (ac97->scaps & AC97_SCAP_SURROUND_DAC))
286                         return -EINVAL;
287                 break;
288         case AC97_PCM_LFE_DAC_RATE:
289                 if (! (ac97->scaps & AC97_SCAP_CENTER_LFE_DAC))
290                         return -EINVAL;
291                 break;
292         case AC97_SPDIF:
293                 /* special case */
294                 return set_spdif_rate(ac97, rate);
295         default:
296                 return -EINVAL;
297         }
298         if (dbl)
299                 rate /= 2;
300         tmp = (rate * ac97->bus->clock) / 48000;
301         if (tmp > 65535)
302                 return -EINVAL;
303         if ((ac97->ext_id & AC97_EI_DRA) && reg == AC97_PCM_FRONT_DAC_RATE)
304                 snd_ac97_update_bits(ac97, AC97_EXTENDED_STATUS,
305                                      AC97_EA_DRA, dbl ? AC97_EA_DRA : 0);
306         snd_ac97_update(ac97, reg, tmp & 0xffff);
307         snd_ac97_read(ac97, reg);
308         if ((ac97->ext_id & AC97_EI_DRA) && reg == AC97_PCM_FRONT_DAC_RATE) {
309                 /* Intel controllers require double rate data to be put in
310                  * slots 7+8
311                  */
312                 snd_ac97_update_bits(ac97, AC97_GENERAL_PURPOSE,
313                                      AC97_GP_DRSS_MASK,
314                                      dbl ? AC97_GP_DRSS_78 : 0);
315                 snd_ac97_read(ac97, AC97_GENERAL_PURPOSE);
316         }
317         return 0;
318 }
319
320 static unsigned short get_pslots(struct snd_ac97 *ac97, unsigned char *rate_table, unsigned short *spdif_slots)
321 {
322         if (!ac97_is_audio(ac97))
323                 return 0;
324         if (ac97_is_rev22(ac97) || ac97_can_amap(ac97)) {
325                 unsigned short slots = 0;
326                 if (ac97_is_rev22(ac97)) {
327                         /* Note: it's simply emulation of AMAP behaviour */
328                         u16 es;
329                         es = ac97->regs[AC97_EXTENDED_ID] &= ~AC97_EI_DACS_SLOT_MASK;
330                         switch (ac97->addr) {
331                         case 1:
332                         case 2: es |= (1<<AC97_EI_DACS_SLOT_SHIFT); break;
333                         case 3: es |= (2<<AC97_EI_DACS_SLOT_SHIFT); break;
334                         }
335                         snd_ac97_write_cache(ac97, AC97_EXTENDED_ID, es);
336                 }
337                 switch (ac97->addr) {
338                 case 0:
339                         slots |= (1<<AC97_SLOT_PCM_LEFT)|(1<<AC97_SLOT_PCM_RIGHT);
340                         if (ac97->scaps & AC97_SCAP_SURROUND_DAC)
341                                 slots |= (1<<AC97_SLOT_PCM_SLEFT)|(1<<AC97_SLOT_PCM_SRIGHT);
342                         if (ac97->scaps & AC97_SCAP_CENTER_LFE_DAC)
343                                 slots |= (1<<AC97_SLOT_PCM_CENTER)|(1<<AC97_SLOT_LFE);
344                         if (ac97->ext_id & AC97_EI_SPDIF) {
345                                 if (!(ac97->scaps & AC97_SCAP_SURROUND_DAC))
346                                         *spdif_slots = (1<<AC97_SLOT_SPDIF_LEFT)|(1<<AC97_SLOT_SPDIF_RIGHT);
347                                 else if (!(ac97->scaps & AC97_SCAP_CENTER_LFE_DAC))
348                                         *spdif_slots = (1<<AC97_SLOT_SPDIF_LEFT1)|(1<<AC97_SLOT_SPDIF_RIGHT1);
349                                 else
350                                         *spdif_slots = (1<<AC97_SLOT_SPDIF_LEFT2)|(1<<AC97_SLOT_SPDIF_RIGHT2);
351                         }
352                         *rate_table = 0;
353                         break;
354                 case 1:
355                 case 2:
356                         slots |= (1<<AC97_SLOT_PCM_SLEFT)|(1<<AC97_SLOT_PCM_SRIGHT);
357                         if (ac97->scaps & AC97_SCAP_SURROUND_DAC)
358                                 slots |= (1<<AC97_SLOT_PCM_CENTER)|(1<<AC97_SLOT_LFE);
359                         if (ac97->ext_id & AC97_EI_SPDIF) {
360                                 if (!(ac97->scaps & AC97_SCAP_SURROUND_DAC))
361                                         *spdif_slots = (1<<AC97_SLOT_SPDIF_LEFT1)|(1<<AC97_SLOT_SPDIF_RIGHT1);
362                                 else
363                                         *spdif_slots = (1<<AC97_SLOT_SPDIF_LEFT2)|(1<<AC97_SLOT_SPDIF_RIGHT2);
364                         }
365                         *rate_table = 1;
366                         break;
367                 case 3:
368                         slots |= (1<<AC97_SLOT_PCM_CENTER)|(1<<AC97_SLOT_LFE);
369                         if (ac97->ext_id & AC97_EI_SPDIF)
370                                 *spdif_slots = (1<<AC97_SLOT_SPDIF_LEFT2)|(1<<AC97_SLOT_SPDIF_RIGHT2);
371                         *rate_table = 2;
372                         break;
373                 }
374                 return slots;
375         } else {
376                 unsigned short slots;
377                 slots = (1<<AC97_SLOT_PCM_LEFT)|(1<<AC97_SLOT_PCM_RIGHT);
378                 if (ac97->scaps & AC97_SCAP_SURROUND_DAC)
379                         slots |= (1<<AC97_SLOT_PCM_SLEFT)|(1<<AC97_SLOT_PCM_SRIGHT);
380                 if (ac97->scaps & AC97_SCAP_CENTER_LFE_DAC)
381                         slots |= (1<<AC97_SLOT_PCM_CENTER)|(1<<AC97_SLOT_LFE);
382                 if (ac97->ext_id & AC97_EI_SPDIF) {
383                         if (!(ac97->scaps & AC97_SCAP_SURROUND_DAC))
384                                 *spdif_slots = (1<<AC97_SLOT_SPDIF_LEFT)|(1<<AC97_SLOT_SPDIF_RIGHT);
385                         else if (!(ac97->scaps & AC97_SCAP_CENTER_LFE_DAC))
386                                 *spdif_slots = (1<<AC97_SLOT_SPDIF_LEFT1)|(1<<AC97_SLOT_SPDIF_RIGHT1);
387                         else
388                                 *spdif_slots = (1<<AC97_SLOT_SPDIF_LEFT2)|(1<<AC97_SLOT_SPDIF_RIGHT2);
389                 }
390                 *rate_table = 0;
391                 return slots;
392         }
393 }
394
395 static unsigned short get_cslots(struct snd_ac97 *ac97)
396 {
397         unsigned short slots;
398
399         if (!ac97_is_audio(ac97))
400                 return 0;
401         slots = (1<<AC97_SLOT_PCM_LEFT)|(1<<AC97_SLOT_PCM_RIGHT);
402         slots |= (1<<AC97_SLOT_MIC);
403         return slots;
404 }
405
406 static unsigned int get_rates(struct ac97_pcm *pcm, unsigned int cidx, unsigned short slots, int dbl)
407 {
408         int i, idx;
409         unsigned int rates = ~0;
410         unsigned char reg;
411
412         for (i = 3; i < 12; i++) {
413                 if (!(slots & (1 << i)))
414                         continue;
415                 reg = get_slot_reg(pcm, cidx, i, dbl);
416                 switch (reg) {
417                 case AC97_PCM_FRONT_DAC_RATE:   idx = AC97_RATES_FRONT_DAC; break;
418                 case AC97_PCM_SURR_DAC_RATE:    idx = AC97_RATES_SURR_DAC; break;
419                 case AC97_PCM_LFE_DAC_RATE:     idx = AC97_RATES_LFE_DAC; break;
420                 case AC97_PCM_LR_ADC_RATE:      idx = AC97_RATES_ADC; break;
421                 case AC97_PCM_MIC_ADC_RATE:     idx = AC97_RATES_MIC_ADC; break;
422                 default:                        idx = AC97_RATES_SPDIF; break;
423                 }
424                 rates &= pcm->r[dbl].codec[cidx]->rates[idx];
425         }
426         if (!dbl)
427                 rates &= ~(SNDRV_PCM_RATE_64000 | SNDRV_PCM_RATE_88200 |
428                            SNDRV_PCM_RATE_96000);
429         return rates;
430 }
431
432 /**
433  * snd_ac97_pcm_assign - assign AC97 slots to given PCM streams
434  * @bus: the ac97 bus instance
435  * @pcms_count: count of PCMs to be assigned
436  * @pcms: PCMs to be assigned
437  *
438  * It assigns available AC97 slots for given PCMs. If none or only
439  * some slots are available, pcm->xxx.slots and pcm->xxx.rslots[] members
440  * are reduced and might be zero.
441  */
442 int snd_ac97_pcm_assign(struct snd_ac97_bus *bus,
443                         unsigned short pcms_count,
444                         const struct ac97_pcm *pcms)
445 {
446         int i, j, k;
447         const struct ac97_pcm *pcm;
448         struct ac97_pcm *rpcms, *rpcm;
449         unsigned short avail_slots[2][4];
450         unsigned char rate_table[2][4];
451         unsigned short tmp, slots;
452         unsigned short spdif_slots[4];
453         unsigned int rates;
454         struct snd_ac97 *codec;
455
456         rpcms = kcalloc(pcms_count, sizeof(struct ac97_pcm), GFP_KERNEL);
457         if (rpcms == NULL)
458                 return -ENOMEM;
459         memset(avail_slots, 0, sizeof(avail_slots));
460         memset(rate_table, 0, sizeof(rate_table));
461         memset(spdif_slots, 0, sizeof(spdif_slots));
462         for (i = 0; i < 4; i++) {
463                 codec = bus->codec[i];
464                 if (!codec)
465                         continue;
466                 avail_slots[0][i] = get_pslots(codec, &rate_table[0][i], &spdif_slots[i]);
467                 avail_slots[1][i] = get_cslots(codec);
468                 if (!(codec->scaps & AC97_SCAP_INDEP_SDIN)) {
469                         for (j = 0; j < i; j++) {
470                                 if (bus->codec[j])
471                                         avail_slots[1][i] &= ~avail_slots[1][j];
472                         }
473                 }
474         }
475         /* first step - exclusive devices */
476         for (i = 0; i < pcms_count; i++) {
477                 pcm = &pcms[i];
478                 rpcm = &rpcms[i];
479                 /* low-level driver thinks that it's more clever */
480                 if (pcm->copy_flag) {
481                         *rpcm = *pcm;
482                         continue;
483                 }
484                 rpcm->stream = pcm->stream;
485                 rpcm->exclusive = pcm->exclusive;
486                 rpcm->spdif = pcm->spdif;
487                 rpcm->private_value = pcm->private_value;
488                 rpcm->bus = bus;
489                 rpcm->rates = ~0;
490                 slots = pcm->r[0].slots;
491                 for (j = 0; j < 4 && slots; j++) {
492                         if (!bus->codec[j])
493                                 continue;
494                         rates = ~0;
495                         if (pcm->spdif && pcm->stream == 0)
496                                 tmp = spdif_slots[j];
497                         else
498                                 tmp = avail_slots[pcm->stream][j];
499                         if (pcm->exclusive) {
500                                 /* exclusive access */
501                                 tmp &= slots;
502                                 for (k = 0; k < i; k++) {
503                                         if (rpcm->stream == rpcms[k].stream)
504                                                 tmp &= ~rpcms[k].r[0].rslots[j];
505                                 }
506                         } else {
507                                 /* non-exclusive access */
508                                 tmp &= pcm->r[0].slots;
509                         }
510                         if (tmp) {
511                                 rpcm->r[0].rslots[j] = tmp;
512                                 rpcm->r[0].codec[j] = bus->codec[j];
513                                 rpcm->r[0].rate_table[j] = rate_table[pcm->stream][j];
514                                 if (bus->no_vra)
515                                         rates = SNDRV_PCM_RATE_48000;
516                                 else
517                                         rates = get_rates(rpcm, j, tmp, 0);
518                                 if (pcm->exclusive)
519                                         avail_slots[pcm->stream][j] &= ~tmp;
520                         }
521                         slots &= ~tmp;
522                         rpcm->r[0].slots |= tmp;
523                         rpcm->rates &= rates;
524                 }
525                 /* for double rate, we check the first codec only */
526                 if (pcm->stream == SNDRV_PCM_STREAM_PLAYBACK &&
527                     bus->codec[0] && (bus->codec[0]->flags & AC97_DOUBLE_RATE) &&
528                     rate_table[pcm->stream][0] == 0) {
529                         tmp = (1<<AC97_SLOT_PCM_LEFT) | (1<<AC97_SLOT_PCM_RIGHT) |
530                               (1<<AC97_SLOT_PCM_LEFT_0) | (1<<AC97_SLOT_PCM_RIGHT_0);
531                         if ((tmp & pcm->r[1].slots) == tmp) {
532                                 rpcm->r[1].slots = tmp;
533                                 rpcm->r[1].rslots[0] = tmp;
534                                 rpcm->r[1].rate_table[0] = 0;
535                                 rpcm->r[1].codec[0] = bus->codec[0];
536                                 if (pcm->exclusive)
537                                         avail_slots[pcm->stream][0] &= ~tmp;
538                                 if (bus->no_vra)
539                                         rates = SNDRV_PCM_RATE_96000;
540                                 else
541                                         rates = get_rates(rpcm, 0, tmp, 1);
542                                 rpcm->rates |= rates;
543                         }
544                 }
545                 if (rpcm->rates == ~0)
546                         rpcm->rates = 0; /* not used */
547         }
548         bus->pcms_count = pcms_count;
549         bus->pcms = rpcms;
550         return 0;
551 }
552
553 /**
554  * snd_ac97_pcm_open - opens the given AC97 pcm
555  * @pcm: the ac97 pcm instance
556  * @rate: rate in Hz, if codec does not support VRA, this value must be 48000Hz
557  * @cfg: output stream characteristics
558  * @slots: a subset of allocated slots (snd_ac97_pcm_assign) for this pcm
559  *
560  * It locks the specified slots and sets the given rate to AC97 registers.
561  */
562 int snd_ac97_pcm_open(struct ac97_pcm *pcm, unsigned int rate,
563                       enum ac97_pcm_cfg cfg, unsigned short slots)
564 {
565         struct snd_ac97_bus *bus;
566         int i, cidx, r, ok_flag;
567         unsigned int reg_ok[4] = {0,0,0,0};
568         unsigned char reg;
569         int err = 0;
570
571         r = rate > 48000;
572         bus = pcm->bus;
573         if (cfg == AC97_PCM_CFG_SPDIF) {
574                 int err;
575                 for (cidx = 0; cidx < 4; cidx++)
576                         if (bus->codec[cidx] && (bus->codec[cidx]->ext_id & AC97_EI_SPDIF)) {
577                                 err = set_spdif_rate(bus->codec[cidx], rate);
578                                 if (err < 0)
579                                         return err;
580                         }
581         }
582         spin_lock_irq(&pcm->bus->bus_lock);
583         for (i = 3; i < 12; i++) {
584                 if (!(slots & (1 << i)))
585                         continue;
586                 ok_flag = 0;
587                 for (cidx = 0; cidx < 4; cidx++) {
588                         if (bus->used_slots[pcm->stream][cidx] & (1 << i)) {
589                                 spin_unlock_irq(&pcm->bus->bus_lock);
590                                 err = -EBUSY;
591                                 goto error;
592                         }
593                         if (pcm->r[r].rslots[cidx] & (1 << i)) {
594                                 bus->used_slots[pcm->stream][cidx] |= (1 << i);
595                                 ok_flag++;
596                         }
597                 }
598                 if (!ok_flag) {
599                         spin_unlock_irq(&pcm->bus->bus_lock);
600                         snd_printk(KERN_ERR "cannot find configuration for AC97 slot %i\n", i);
601                         err = -EAGAIN;
602                         goto error;
603                 }
604         }
605         spin_unlock_irq(&pcm->bus->bus_lock);
606         for (i = 3; i < 12; i++) {
607                 if (!(slots & (1 << i)))
608                         continue;
609                 for (cidx = 0; cidx < 4; cidx++) {
610                         if (pcm->r[r].rslots[cidx] & (1 << i)) {
611                                 reg = get_slot_reg(pcm, cidx, i, r);
612                                 if (reg == 0xff) {
613                                         snd_printk(KERN_ERR "invalid AC97 slot %i?\n", i);
614                                         continue;
615                                 }
616                                 if (reg_ok[cidx] & (1 << (reg - AC97_PCM_FRONT_DAC_RATE)))
617                                         continue;
618                                 //printk(KERN_DEBUG "setting ac97 reg 0x%x to rate %d\n", reg, rate);
619                                 err = snd_ac97_set_rate(pcm->r[r].codec[cidx], reg, rate);
620                                 if (err < 0)
621                                         snd_printk(KERN_ERR "error in snd_ac97_set_rate: cidx=%d, reg=0x%x, rate=%d, err=%d\n", cidx, reg, rate, err);
622                                 else
623                                         reg_ok[cidx] |= (1 << (reg - AC97_PCM_FRONT_DAC_RATE));
624                         }
625                 }
626         }
627         pcm->aslots = slots;
628         return 0;
629
630  error:
631         pcm->aslots = slots;
632         snd_ac97_pcm_close(pcm);
633         return err;
634 }
635
636 /**
637  * snd_ac97_pcm_close - closes the given AC97 pcm
638  * @pcm: the ac97 pcm instance
639  *
640  * It frees the locked AC97 slots.
641  */
642 int snd_ac97_pcm_close(struct ac97_pcm *pcm)
643 {
644         struct snd_ac97_bus *bus;
645         unsigned short slots = pcm->aslots;
646         int i, cidx;
647
648         bus = pcm->bus;
649         spin_lock_irq(&pcm->bus->bus_lock);
650         for (i = 3; i < 12; i++) {
651                 if (!(slots & (1 << i)))
652                         continue;
653                 for (cidx = 0; cidx < 4; cidx++)
654                         bus->used_slots[pcm->stream][cidx] &= ~(1 << i);
655         }
656         pcm->aslots = 0;
657         spin_unlock_irq(&pcm->bus->bus_lock);
658         return 0;
659 }
660
661 static int double_rate_hw_constraint_rate(struct snd_pcm_hw_params *params,
662                                           struct snd_pcm_hw_rule *rule)
663 {
664         struct snd_interval *channels = hw_param_interval(params, SNDRV_PCM_HW_PARAM_CHANNELS);
665         if (channels->min > 2) {
666                 static const struct snd_interval single_rates = {
667                         .min = 1,
668                         .max = 48000,
669                 };
670                 struct snd_interval *rate = hw_param_interval(params, SNDRV_PCM_HW_PARAM_RATE);
671                 return snd_interval_refine(rate, &single_rates);
672         }
673         return 0;
674 }
675
676 static int double_rate_hw_constraint_channels(struct snd_pcm_hw_params *params,
677                                               struct snd_pcm_hw_rule *rule)
678 {
679         struct snd_interval *rate = hw_param_interval(params, SNDRV_PCM_HW_PARAM_RATE);
680         if (rate->min > 48000) {
681                 static const struct snd_interval double_rate_channels = {
682                         .min = 2,
683                         .max = 2,
684                 };
685                 struct snd_interval *channels = hw_param_interval(params, SNDRV_PCM_HW_PARAM_CHANNELS);
686                 return snd_interval_refine(channels, &double_rate_channels);
687         }
688         return 0;
689 }
690
691 /**
692  * snd_ac97_pcm_double_rate_rules - set double rate constraints
693  * @runtime: the runtime of the ac97 front playback pcm
694  *
695  * Installs the hardware constraint rules to prevent using double rates and
696  * more than two channels at the same time.
697  */
698 int snd_ac97_pcm_double_rate_rules(struct snd_pcm_runtime *runtime)
699 {
700         int err;
701
702         err = snd_pcm_hw_rule_add(runtime, 0, SNDRV_PCM_HW_PARAM_RATE,
703                                   double_rate_hw_constraint_rate, NULL,
704                                   SNDRV_PCM_HW_PARAM_CHANNELS, -1);
705         if (err < 0)
706                 return err;
707         err = snd_pcm_hw_rule_add(runtime, 0, SNDRV_PCM_HW_PARAM_CHANNELS,
708                                   double_rate_hw_constraint_channels, NULL,
709                                   SNDRV_PCM_HW_PARAM_RATE, -1);
710         return err;
711 }